Vapor-Phase Halogenation of Hydrogen-Terminated Silicon(100) Using N-Halogen-succinimidesClick to copy article linkArticle link copied!
- Patrick R. RaffaellePatrick R. RaffaelleDepartment of Chemical Engineering, Hajim School of Engineering and Applied Sciences, University of Rochester, Rochester, New York 14627, United StatesMore by Patrick R. Raffaelle
- George T. WangGeorge T. WangSandia National Laboratories, Albuquerque, New Mexico 87185, United StatesMore by George T. Wang
- Alexander A. Shestopalov*Alexander A. Shestopalov*Email: [email protected]Department of Chemical Engineering, Hajim School of Engineering and Applied Sciences, University of Rochester, Rochester, New York 14627, United StatesMore by Alexander A. Shestopalov
Abstract
The focus of this study was to demonstrate the vapor-phase halogenation of Si(100) and subsequently evaluate the inhibiting ability of the halogenated surfaces toward atomic layer deposition (ALD) of aluminum oxide (Al2O3). Hydrogen-terminated silicon ⟨100⟩ (H–Si(100)) was halogenated using N-chlorosuccinimide (NCS), N-bromosuccinimide (NBS), and N-iodosuccinimide (NIS) in a vacuum-based chemical process. The composition and physical properties of the prepared monolayers were analyzed by using X-ray photoelectron spectroscopy (XPS) and contact angle (CA) goniometry. These measurements confirmed that all three reagents were more effective in halogenating H–Si(100) over OH–Si(100) in the vapor phase. The stability of the modified surfaces in air was also tested, with the chlorinated surface showing the greatest resistance to monolayer degradation and silicon oxide (SiO2) generation within the first 24 h of exposure to air. XPS and atomic force microscopy (AFM) measurements showed that the succinimide-derived Hal-Si(100) surfaces exhibited blocking ability superior to that of H–Si(100), a commonly used ALD resist. This halogenation method provides a dry chemistry alternative for creating halogen-based ALD resists on Si(100) in near-ambient environments.
This publication is licensed under
License Summary*
You are free to share(copy and redistribute) this article in any medium or format and to adapt(remix, transform, and build upon) the material for any purpose, even commercially within the parameters below:
Creative Commons (CC): This is a Creative Commons license.
Attribution (BY): Credit must be given to the creator.
*Disclaimer
This summary highlights only some of the key features and terms of the actual license. It is not a license and has no legal value. Carefully review the actual license before using these materials.
License Summary*
You are free to share(copy and redistribute) this article in any medium or format and to adapt(remix, transform, and build upon) the material for any purpose, even commercially within the parameters below:
Creative Commons (CC): This is a Creative Commons license.
Attribution (BY): Credit must be given to the creator.
*Disclaimer
This summary highlights only some of the key features and terms of the actual license. It is not a license and has no legal value. Carefully review the actual license before using these materials.
License Summary*
You are free to share(copy and redistribute) this article in any medium or format and to adapt(remix, transform, and build upon) the material for any purpose, even commercially within the parameters below:
Creative Commons (CC): This is a Creative Commons license.
Attribution (BY): Credit must be given to the creator.
*Disclaimer
This summary highlights only some of the key features and terms of the actual license. It is not a license and has no legal value. Carefully review the actual license before using these materials.
Introduction
Materials and Methods
Preparation of H-Terminated Silicon Surface (H–Si(100))
Halogenation of H-Terminated Silicon with N-Halogen-succinimides (Hal(H)–Si(100))
Halogenation of OH-Terminated Silicon with N-Halogen-succinimides (Hal(OH)–Si(100))
Atomic Layer Deposition of Al2O3 Thin Films
Results and Discussion
Halogenation of H–Si(100) and OH–Si(100) Using N-Halogen-succinimides
halogenating agents | NCS (Cl) | NBS (Br) | NIS (I) |
---|---|---|---|
XPS signal ratios of Hal 2p (or 3d)/Si 2p electrons | |||
H–Si(100) | 0.028 | 0.017 | 0.012 |
OH–Si(100) | 0.010 | 0.005 | 0.003 |
OH–Si/H–Si halogenation selectivity | |||
1:2.8 | 1:3.5 | 1:4 |
Halogenation selectivity of N-Hal-succinimides.
halogenating agents | NCS (Cl) | NBS (Br) | NIS (I) |
---|---|---|---|
monohalogen surface coverage (%) | |||
H–Si(100) | 145 | 88 | 62 |
contact angle (deg) and contact angle hysteresis (deg) | |||
Hal(H)–Si(100) | 72.5 ± 1.6 | 46.9 ± 0.6 | 26.2 ± 0.9 |
27.1 ± 0.1 | 24.0 ± 0.1 | 20.4 ± 0.1 | |
Hal(OH)–Si(100) | 21.9 ± 0.4 | 24.4 ± 0.5 | 25.2 ± 0.7 |
17.9 ± 0.1 | 19.2 ± 0.1 | 17.1 ± 0.1 | |
bare OH–Si(100) before halogenation | 20.7 ± 0.4 | ||
bare H–Si(100) before halogenation | 67.0 ± 0.4 |
Water contact angle measurements of Hal(H)–Si(100) and Hal(OH)–Si(100) substrates and a bare OH–Si(100) substrate before the reaction.
Stability of Hal(H)–Si(100) Surfaces in Air
Hal(H)–Si(100) Surfaces Ability to Inhibit ALD Precursors
surface | thickness (nm) (based on XPS) | ALD growth rate (nm/cycle) | selectivity (%) (based on XPS) | AFM RMS roughness (nm) |
---|---|---|---|---|
OH–Si(100) | 2.16 | 0.11 | -- | 0.49 |
H-Si(100) | 1.49 | 0.07 | 18.5 | 0.53 |
Cl(H)–Si(100) | 1.16 | 0.06 | 30.0 | 0.21 |
Br(H)–Si(100) | 1.23 | 0.06 | 27.6 | 0.76 |
I(H)–Si(100) | 1.32 | 0.07 | 24.2 | 0.78 |
Selectivity is defined as a proportion between the surface concentration of Al atoms on the OH–Si(100) reference and the surface concentration of Al atoms on the Hal-Si(100) surfaces, all values found using XPS and normalized to the Si 2p signal.
Conclusions
Supporting Information
The Supporting Information is available free of charge at https://pubs.acs.org/doi/10.1021/acsami.3c13269.
AFM images of the Si-H and Si-OH substrates, Haber and Lewis’s substrate-overlayer model equation, water contact angle measurement of the halogenated substrates, XPS spectra of all substrates, ARXPS Al2O3 film thickness measurement on the Si-OH substrate, and ellipsometry topographies of the Si-OH substrate (PDF)
Terms & Conditions
Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.
Acknowledgments
The project is funded by the Laboratory Directed Research and Development program at Sandia National Laboratories, a multimission laboratory managed and operated by National Technology and Engineering Solutions of Sandia, LLC., a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy’s National Nuclear Security Administration under Contract No. DE-NA-0003525. This paper describes objective technical results and analysis. Any subjective views or opinions that might be expressed in the paper do not necessarily represent the views of the U.S. Department of Energy or the United States Government. This article has been authored by an employee of National Technology & Engineering Solutions of Sandia, LLC. under Contract No. DE-NA-0003525 with the U.S. Department of Energy (DOE). The employee owns all right, title, and interest in and to the article and is solely responsible for its contents. The publisher, by accepting the article for publication, acknowledges that the United States Government retains a nonexclusive, paid-up, irrevocable, worldwide license to publish or reproduce the published form of this article or allow others to do so, for United States Government purposes. The DOE will provide public access to these results of federally sponsored research in accordance with the DOE Public Access Plan https://www.energy.gov/downloads/doe-public-access-plan. This work was also supported by the National Science Foundation CMMI division under Grant No. 2225896.
(AS-ALD) | area-selective atomic layer deposition |
(GS) | growth surface |
(NGS) | nongrowth surface |
(H-Si(100)) | hydrogen-terminated silicon |
(OH–Si(100)) | hydroxyl-terminated silicon |
(UHV) | ultrahigh vacuum |
(Hal-Si(100)) | halogenated Si(100) |
(Cl-Si(100)) | Cl-terminated Si(100) surface |
(Br-Si(100)) | Br-terminated surface |
(H-SiQDs) | H-terminated silicon quantum dots |
(NCS) | N-chlorosuccinimide |
(NBS) | N-bromosuccinimide |
(NIS) | N-iodosuccinimide |
(XPS) | X-ray photoelectron spectroscopy |
(ARXPS) | angle-resolved X-ray photoelectron spectroscopy |
(SE) | spectroscopic ellipsometry |
(SEM) | secondary electron microscopy |
(STM) | scanning tunneling microscopy |
(AFM) | atomic force microscopy |
(BE) | binding energy |
(SAMs) | self-assembled monolayers |
(DFT) | density functional theory |
(ASF) | atomic sensitivity factors |
References
This article references 84 other publications.
- 1Raaijmakers, I. J. Current and Future Applications of ALD in Micro-electronics. ECS Trans. 2011, 41 (2), 3– 17, DOI: 10.1149/1.3633649Google Scholar1https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XnvVGmtb0%253D&md5=4047cdbf63622977801b54f9530e5e7bCurrent and future applications of ALD in micro-electronicsRaaijmakers, Ivo J.ECS Transactions (2011), 41 (2, Atomic Layer Deposition Applications 7), 3-17CODEN: ECSTF8; ISSN:1938-5862. (Electrochemical Society)A review. This paper describes the status of current and future applications of Atomic Layer Deposition (ALD) and Plasma Enhanced ALD (PEALD) in the field of Micro-electronics. Substantial expansion of the ALD market is expected in the coming decade, both in IC manufg., but also in adjacent non-IC applications. Several techniques will be described that work around the relatively slow deposition rate of ALD.
- 2Levy, D. H.; Nelson, S. F. Thin-film electronics by atomic layer deposition. J. Vac. Sci. Technol., A 2012, 30 (1), 018501 DOI: 10.1116/1.3670748Google Scholar2https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XjslKktQ%253D%253D&md5=a94d5178b07700b5d6edd13ea18a93c1Thin-film electronics by atomic layer depositionLevy, David H.; Nelson, Shelby F.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2012), 30 (1), 018501/1-018501/9CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)Atomic layer deposition (ALD) produces conformal films with low defects and a high degree of thickness control. Many applications leverage these properties to yield excellent dielecs. and barrier layers. In recent years, ALD has been exploited to produce thin-film transistors, in which the technique is capable of producing all of the layers required, including the semiconductor. This perspective will examine the state-of-the-art use of ALD to produce thin-film electronics, notably the zinc oxide-based thin-film transistor. It is crit. that the ZnO-based semiconductor material have sufficiently high resistivity in order to yield transistors with low off current and good switching characteristics. The nature of this problem and the approaches used to address it will be discussed. The use of rapid deposition technologies, such as spatial ALD, also has a strong impact on the quality of the ZnO semiconductor. Finally, demonstrations of various thin film electronics devices and systems produced by ALD will be reviewed. (c) 2012 American Institute of Physics.
- 3Khan, R.; Ali-Loytty, H.; Saari, J.; Valden, M.; Tukiainen, A.; Lahtonen, K.; Tkachenko, N. V. Optimization of Photogenerated Charge Carrier Lifetimes in ALD Grown TiO(2)for Photonic Applications. Nanomaterials 2020, 10 (8), 1567 DOI: 10.3390/nano10081567Google Scholar3https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXhsl2gu7rM&md5=66acf686ff8f3b8c8aab0e2f95623a68Optimization of photogenerated charge carrier lifetimes in ALD grown TiO2 for photonic applicationsKhan, Ramsha; Ali-Loytty, Harri; Saari, Jesse; Valden, Mika; Tukiainen, Antti; Lahtonen, Kimmo; Tkachenko, Nikolai V.Nanomaterials (2020), 10 (8), 1567CODEN: NANOKO; ISSN:2079-4991. (MDPI AG)Titanium dioxide (TiO2) thin films are widely employed for photocatalytic and photovoltaic applications where the long lifetime of charge carriers is a paramount requirement for the device efficiency. To ensure the long lifetime, a high temp. treatment is used which restricts the applicability of TiO2in devices incorporating org. or polymer components. In this study, we exploited low temp. (100-150°C) at. layer deposition (ALD) of 30 nm TiO2thin films from tetrakis(dimethylamido)titanium. The deposition was followed by a heat treatment in air to find the min. temp. requirements for the film fabrication without compromising the carrier lifetime. Femto-to nanosecond transient absorption spectroscopy was used to det. the lifetimes, and grazing incidence X-ray diffraction was employed for structural anal. The optimal result was obtained for the TiO2 thin films grown at 150°C and heat-treated at as low as 300°C. The deposited thin films were amorphous and crystd. into anatase phase upon heat treatment at 300-500°C. The av. carrier lifetime for amorphous TiO2 is few picoseconds but increases to >400 ps upon crystn. at 500°C. The samples deposited at 100°C were also crystd. as anatase but the carrier lifetime was <100 ps.
- 4Knehr, E.; Ziegler, M.; Linzen, S.; Ilin, K.; Schanz, P.; Plentz, J.; Diegel, M.; Schmidt, H.; Il’ichev, E.; Siegel, M. Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices. J. Vac. Sci. Technol., A 2021, 39 (5), 052401 DOI: 10.1116/6.0001126Google Scholar4https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhs1ejsbjP&md5=5a8b44ee30c62302b595ecb124da3ec3Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devicesKnehr, Emanuel; Ziegler, Mario; Linzen, Sven; Ilin, Konstantin; Schanz, Patrick; Plentz, Jonathan; Diegel, Marco; Schmidt, Heidemarie; Il'ichev, Evgeni; Siegel, MichaelJournal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2021), 39 (5), 052401CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)Superconducting niobium nitride thin films are used for a variety of photon detectors, quantum devices, and superconducting electronics. Most of these applications require highly uniform films, for instance, when moving from single-pixel detectors to arrays with a large active area. Plasma-enhanced at. layer deposition (ALD) of superconducting niobium nitride is a feasible option to produce high-quality, conformal thin films and has been demonstrated as a film deposition method to fabricate superconducting nanowire single-photon detectors before. Here, we explore the property spread of ALD-NbN across a 6-in. wafer area. Over the equiv. area of a 2-in. wafer, we measure a max. deviation of 1% in crit. temp. and 12% in switching current. Toward larger areas, structural characterizations indicate that changes in the crystal structure seem to be the limiting factor rather than film compn. or impurities. The results show that ALD is suited to fabricate NbN thin films as a material for large-area detector arrays and for new detector designs and devices requiring uniform superconducting thin films with precise thickness control. (c) 2021 American Institute of Physics.
- 5Zarubin, S.; Suvorova, E.; Spiridonov, M.; Negrov, D.; Chernikova, A.; Markeev, A.; Zenkevich, A. Fully ALD-grown TiN/Hf0.5Zr0.5O2/TiN stacks: Ferroelectric and structural properties (vol 109, 192903, 2016). Appl. Phys. Lett. 2016, 109 (25), 259901 DOI: 10.1063/1.4972787Google Scholar5https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XitFCgurnE&md5=7af0d6e2acaeff7af97aad119ecaecc6Erratum: "Fully ALD-grown TiN/Hf0.5Zr0.5O2/TiN stacks: Ferroelectric and structural properties" [Appl. Phys. Lett. 109, 192903 (2016)] [Erratum to document cited in CA166:131366]Zarubin, Sergei; Suvorova, Elena; Spiridonov, Maksim; Negrov, Dmitrii; Chernikova, Anna; Markeev, Andrey; Zenkevich, AndreiApplied Physics Letters (2016), 109 (25), 259901/1CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)In the original publication, there is an error in the acknowledgments section; the correction is provided here. (c) 2016 American Institute of Physics.
- 6Parsons, G. N.; Clark, R. D. Area-Selective Deposition: Fundamentals, Applications, and Future Outlook. Chem. Mater. 2020, 32 (12), 4920– 4953, DOI: 10.1021/acs.chemmater.0c00722Google Scholar6https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXpt1Oktbo%253D&md5=0131b4b89c52dc50c0e0faf640822bf1Area-Selective Deposition: Fundamentals, Applications, and Future OutlookParsons, Gregory N.; Clark, Robert D.Chemistry of Materials (2020), 32 (12), 4920-4953CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)A review. This review provides an overview of area-selective thin film deposition (ASD) with a primary focus on vapor-phase thin film formation via chem. vapor deposition (CVD) and at. layer deposition (ALD). Area-selective deposition has been successfully implemented in microelectronic processes, but most approaches to date rely on high-temp. reactions to achieve the desired substrate sensitivity. Continued size and performance scaling of microelectronics, as well as new materials, patterning methods, and device fabrication schemes are seeking solns. for new low-temp. ( < 400°C) ASD methods for dielecs., metals, and org. thin films. To provide an overview of the ASD field, this article critically reviews key challenges that must be overcome for ASD to be successful in microelectronics and other fields, including descriptions of current process application needs. We provide an overview of basic mechanisms in film nucleation during CVD and ALD and summarize current known ASD approaches for semiconductors, metals, dielecs., and org. materials. For a few key materials, selectivity is quant. compared for different reaction precursors, giving important insight into needs for favorable reactant and reaction design. We summarize current limitations of ASD and future opportunities that could be achieved using advanced bottom-up at. scale processes.
- 7George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110 (1), 111– 131, DOI: 10.1021/cr900056bGoogle Scholar7https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXhsV2hurjJ&md5=80c55f3929d5b8f9b2b876c9e933f571Atomic Layer Deposition: An OverviewGeorge, Steven M.Chemical Reviews (Washington, DC, United States) (2010), 110 (1), 111-131CODEN: CHREAY; ISSN:0009-2665. (American Chemical Society)A review. A review on the at. layer deposition and its application to the fabrication of semiconductor device and nanodevices. The nucleation and growth mechanism during at. layer deposition are discussed.
- 8Mackus, A. J. M.; Merkx, M. J. M.; Kessels, W. M. M. From the Bottom-Up: Toward Area-Selective Atomic Layer Deposition with High Selectivity. Chem. Mater. 2019, 31 (1), 2– 12, DOI: 10.1021/acs.chemmater.8b03454Google Scholar8https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXisFert7fO&md5=5536a3c4ee94633ea91c2b99009a1212From the bottom-up: Toward area-selective atomic layer deposition with high selectivityMackus, Adriaan J. M.; Merkx, Marc J. M.; Kessels, Wilhelmus M. M.Chemistry of Materials (2019), 31 (1), 2-12CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)A review. Bottom-up nanofabrication by area-selective at. layer deposition (ALD) is currently gaining momentum in semiconductor processing, because of the increasing need for eliminating the edge placement errors of top-down processing. Moreover, area-selective ALD offers new opportunities in many other areas such as the synthesis of catalysts with at.-level control. This Perspective provides an overview of the current developments in the field of area-selective ALD, discusses the challenge of achieving a high selectivity, and provides a vision for how area-selective ALD processes can be improved. A general cause for the loss of selectivity during deposition is that the character of surfaces on which no deposition should take place changes when it is exposed to the ALD chem. A soln. is to implement correction steps during ALD involving for example surface functionalization or selective etching. This leads to the development of advanced ALD cycles by combining conventional two-step ALD cycles with correction steps in multistep cycle and/or supercycle recipes.
- 9Lee, H.-B.-R.; Bent, S. Nanopatterning by Area-Selective Atomic Layer Deposition. At. Layer Deposition Nanostruct. Mater. 2011, 193– 225, DOI: 10.1002/9783527639915.ch9Google ScholarThere is no corresponding record for this reference.
- 10Cho, T. H.; Farjam, N.; Allemang, C. R.; Pannier, C. P.; Kazyak, E.; Huber, C.; Rose, M.; Trejo, O.; Peterson, R. L.; Barton, K.; Dasgupta, N. P. Area-Selective Atomic Layer Deposition Patterned by Electrohydrodynamic Jet Printing for Additive Manufacturing of Functional Materials and Devices. ACS Nano 2020, 14 (12), 17262– 17272, DOI: 10.1021/acsnano.0c07297Google Scholar10https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXitlOgtLvN&md5=5257cafa1fff28831b3ea5e837493bf1Area-Selective Atomic Layer Deposition Patterned by Electrohydrodynamic Jet Printing for Additive Manufacturing of Functional Materials and DevicesCho, Tae H.; Farjam, Nazanin; Allemang, Christopher R.; Pannier, Christopher P.; Kazyak, Eric; Huber, Carli; Rose, Mattison; Trejo, Orlando; Peterson, Rebecca L.; Barton, Kira; Dasgupta, Neil P.ACS Nano (2020), 14 (12), 17262-17272CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)There is an increasing interest in additive nanomanufg. processes, which enable customizable patterning of functional materials and devices on a wide range of substrates. However, there are relatively few techniques with the ability to directly 3D print patterns of functional materials with sub-micron resoln. In this study, we demonstrate the use of additive electrohydrodynamic jet (e-jet) printing with an av. line width of 312 nm, which acts as an inhibitor for area-selective at. layer deposition (AS-ALD) of a range of metal oxides. We also demonstrate subtractive e-jet printing with solvent inks that dissolve polymer inhibitor layers in specific regions, which enables localized AS-ALD within those regions. The chem. selectivity and morphol. of e-jet patterned polymers towards binary and ternary oxides of ZnO, Al2O3, and SnO2 were quantified using XPS, at. force microscopy, and Auger electron spectroscopy. This approach enables patterning of functional oxide semiconductors, insulators, and transparent conducting oxides with tunable compn., Å-scale control of thickness, and sub-μm resoln. in the x-y plane. Using a combination of additive and subtractive e-jet printing with AS-ALD, a thin-film transistor was fabricated using zinc-tin-oxide for the semiconductor channel and aluminum-doped zinc oxide as the source and drain elec. contacts. In the future, this technique can be used to print integrated electronics with sub-micron resoln. on a variety of substrates.
- 11Deminskyi, P.; Haider, A.; Eren, H.; Khan, T. M.; Biyikli, N. Area-selective atomic layer deposition of noble metals: Polymerized fluorocarbon layers as effective growth inhibitors. J. Vac. Sci. Technol., A 2020, 39 (1), 022402 DOI: 10.1116/6.0000701Google ScholarThere is no corresponding record for this reference.
- 12Krishtab, M.; Armini, S.; Meersschaut, J.; De Gendt, S.; Ameloot, R. Cyclic Plasma Halogenation of Amorphous Carbon for Defect-Free Area-Selective Atomic Layer Deposition of Titanium Oxide. ACS Appl. Mater. Interfaces 2021, 13 (27), 32381– 32392, DOI: 10.1021/acsami.1c04405Google Scholar12https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhtlKlu7vN&md5=d666e2f74b17b26dc51cf4e757f533bdCyclic plasma halogenation of amorphous carbon for defect-free area-selective atomic layer deposition of titanium oxideKrishtab, Mikhail; Armini, Silvia; Meersschaut, Johan; De Gendt, Stefan; Ameloot, RobACS Applied Materials & Interfaces (2021), 13 (27), 32381-32392CODEN: AAMICK; ISSN:1944-8244. (American Chemical Society)As crit. dimensions in integrated circuits continue to shrink, the lithog.-based alignment of adjacent patterned layers becomes more challenging. Area-selective at. layer deposition (ALD) allows circumventing the alignment issue by exploiting the chem. contrast of the exposed surfaces. In this work, we investigate the selective deposition of TiO2 by plasma halogenation of amorphous carbon (a-C:H) acting as a growth-inhibiting layer. On a-C:H, a CF4 or Cl2 plasma forms a thin halogenated layer that suppresses the growth of TiO2, while nucleation remains unaffected on plasma-treated SiO2. The same halogenating plasmas preferentially etch TiO2 nuclei over films and thus enable the restoration of the halogenated surface of amorphous carbon. By embedding the intermediate plasma treatments in the ALD TiO2 sequence, an 8 nm TiO2 layer could be deposited with a selectivity of 0.998. The application of the cyclic process on a 60 nm half-pitch line pattern resulted in the defect-free deposition of TiO2 at the bottom of the trenches. Cyclic fluorination demonstrated better growth inhibition compared to chlorination due to more efficient defect removal and retention of the favorable surface compn. during plasma exposure. While exploring the TiO2 nucleation defects at the limit of detection for conventional elemental anal. techniques (<1 x 1014 at/cm2), we addnl. highlight the value of imaging techniques such as at. force microscopy for understanding defect formation mechanisms and accurately assessing growth selectivity.
- 13Miyajima, C.; Ito, S.; Nakagawa, M. Selective dry etching of UV-nanoimprinted resin passivation masks for area selective atomic layer deposition of aluminum oxide. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2021, 39 (5), 052804 DOI: 10.1116/6.0001250Google Scholar13https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitVGnsLnO&md5=0e39b00456d4778a2be3faaf6e972868Selective dry etching of UV-nanoimprinted resin passivation masks for area selective atomic layer deposition of aluminum oxideMiyajima, Chiaki; Ito, Shunya; Nakagawa, MasaruJournal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena (2021), 39 (5), 052804CODEN: JVSTCN; ISSN:2166-2746. (American Institute of Physics)To demonstrate area selective at. layer deposition (ALD) using UV-nanoimprinted resin patterns as phys. passivation masks, we investigated the removal of UV-cured resin films subjected to sequential mutual doses of trimethylaluminum (TMA) and H2O by using dry etching procedures. On the basis of the removal of a residual layer characteristic from imprint resin patterns by anisotropic oxygen reactive ion etching (O2 RIE), oxidatively etched UV-cured films were modified with TMA and H2O in an ALD-like cyclic manner. Atomic force microscopy and time-of-flight secondary ion mass spectrometry [time-of-flight secondary ion mass spectrometry (TOF-SIMS)] anal. suggested that the combination of phys. Ar ion milling and subsequent chem. O2 RIE enabled the elimination of oxidized UV-cured resin masks modified with 5-cycle TMA doses. By contrast, Ar ion milling or O2 RIE left org. or inorg. residues on silicon surfaces, resp. A TMA-modified hybridized resin layer was etched by phys. Ar ion milling; subsequently, the org. residual resin layer was removed by chem. O2 RIE in the case of 5-cycle modification with TMA. The mapping image of Al+ visualized by TOF-SIMS suggested that line patterns of aluminum oxide were left selectively on unmasked silicon substrate surfaces by site-selective dry etching of TMA-modified imprint resin passivation masks with 500 nm linewidth. (c) 2021 American Institute of Physics.
- 14Papananou, H.; Katsumata, R.; Neary, Z.; Goh, R.; Meng, X.; Limary, R.; Segalman, R. A. Dopamine-Mediated Polymer Coating Facilitates Area-Selective Atomic Layer Deposition. ACS Appl. Polym. Mater. 2021, 3 (10), 4924– 4931, DOI: 10.1021/acsapm.1c00692Google Scholar14https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhvFKrt7vJ&md5=d41e0d640a5af832a9e236141698b856Dopamine-Mediated Polymer Coating Facilitates Area-Selective Atomic Layer DepositionPapananou, Hellen; Katsumata, Reika; Neary, Zachary; Goh, Rubayn; Meng, Xiangxi; Limary, Ratchana; Segalman, Rachel A.ACS Applied Polymer Materials (2021), 3 (10), 4924-4931CODEN: AAPMCD; ISSN:2637-6105. (American Chemical Society)Area-selective at. layer deposition (ALD) has the potential to significantly improve current fabrication approaches by introducing a bottom-up process in which robust and conformal thin films are selectively deposited onto patterned substrates. This bottom-up approach requires selective areas of the substrates to be masked to inhibit deposition. Spontaneous self-assembly and organization of a mask, incorporating adhesion and other functions, are particularly attractive for this role as they do not require a sep. patterning step. Here, we make use of the pH/light tunability of catechol adhesion to develop a catechol-functionalized polymer that exhibits tunable adhesion strengths on different materials based on their specific chem. Tunable selective deposition was shown between metal/metal oxide substrates by controlling the local pH. Moreover, by controlling the adhesion strength through UV light, the deposition of hafnium oxide (HfO2) during ALD was successfully inhibited.
- 15Chou, C.-Y.; Lee, W.-H.; Chuu, C.-P.; Chen, T.-A.; Hou, C.-H.; Yin, Y.-T.; Wang, T.-Y.; Shyue, J.-J.; Li, L.-J.; Chen, M.-J. Atomic Layer Nucleation Engineering: Inhibitor-Free Area-Selective Atomic Layer Deposition of Oxide and Nitride. Chem. Mater. 2021, 33 (14), 5584– 5590, DOI: 10.1021/acs.chemmater.1c00823Google Scholar15https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhsV2htb7I&md5=24b5d6fcc2b8e6383ce3eb36aa3fdb58Atomic layer nucleation engineering: Inhibitor-free area-selective atomic layer deposition of oxide and nitrideChou, Chun-Yi; Lee, Wei-Hao; Chuu, Chih-Piao; Chen, Tse-An; Hou, Cheng-Hung; Yin, Yu-Tung; Wang, Ting-Yun; Shyue, Jing-Jong; Li, Lain-Jong; Chen, Miin-JangChemistry of Materials (2021), 33 (14), 5584-5590CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Area-selective at. layer deposition (AS-ALD) has attracted attention due to the process demand for semiconductor device scaling. Here, we propose the at. layer nucleation engineering (ALNE) technique, an inhibitor-free AS-ALD of an oxide (Al2O3) and a nitride (AlN) with nearly 100% selectivity between the dielec. (SiO2) and the metal (Pt). The key is to add a radio-frequency substrate bias after precursor exposure and purge in each ALD cycle, where the energy from the ignited plasma selectively removes the precursors on the metal owing to the relatively lower binding energy compared to those on the dielec., thereby inhibiting the film growth on the metal. This crit. step enables the AS-ALD without selectivity loss up to 100 ALD cycles, leading to significant thickness differences of ~ 14.9 and ~ 8.7 nm for Al2O3 and AlN between the dielec. and metal surfaces. The realization of AS-ALD of Al2O3 and AlN by ALNE is also confirmed on the Pt/SiO2 patterned substrate. The ALNE offers a novel concept and approach to achieve high-selectivity AS-ALD, which is crit. to further extension of Moore's law.
- 16Gasvoda, R. J.; Xu, W.; Zhang, Z.; Wang, S.; Hudson, E. A.; Agarwal, S. Selective Gas-Phase Functionalization of SiO2 and SiNx Surfaces with Hydrocarbons. Langmuir 2021, 37 (13), 3960– 3969, DOI: 10.1021/acs.langmuir.1c00212Google Scholar16https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXmsFWgsLw%253D&md5=8a822daf7c33e8e2544d2926462c4297Selective Gas-Phase Functionalization of SiO2 and SiNx Surfaces with HydrocarbonsGasvoda, Ryan J.; Xu, Wanxing; Zhang, Zhonghao; Wang, Scott; Hudson, Eric A.; Agarwal, SumitLangmuir (2021), 37 (13), 3960-3969CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)Selective functionalization of dielec. surfaces is required for area-selective at. layer deposition and etching. We have identified precursors for the selective gas-phase functionalization of plasma-deposited SiO2 and SiNx surfaces with hydrocarbons. The corresponding reaction mechanism of the precursor mols. with the two surfaces was studied using in situ surface IR spectroscopy. We show that at a substrate temp. of 70°C, cyclic azasilanes preferentially react with an -OH-terminated SiO2 surface over a -NHx-terminated SiNx surface with an attachment selectivity of ~ 5.4, which is limited by the partial oxidn. of the SiNx surface. The cyclic azasilane undergoes a ring-opening reaction where the Si-N bond cleaves upon the reaction with surface -OH groups forming a Si-O-Si linkage. After ring opening, the backbone of the grafted hydrocarbon is terminated with a secondary amine, -NHCH3, which can react with water to form an -OH-terminated surface and release CH3NH2 as the product. The surface coverage of the grafted cyclic azasilane is calcd. as ~ 3.3 x 1014 cm-2, assuming that each reacted -OH group contributes to one hydrocarbon linkage. For selective attachment to SiNx over SiO2 surfaces, we detd. the reaction selectivity of aldehydes. We demonstrate that aldehydes selectively attach to SiNx over SiO2 surfaces, and for the specific branched aliph. aldehyde used in this work, almost no reaction was detected with the SiO2 surface. A fraction of the aldehyde mols. reacts with surface -NH2 groups to form an imine (Si-N = C) surface linker with H2O released as the byproduct. The other fraction of the aldehydes also reacts with surface -NH2 groups but do not undergo the water-elimination step and remains attached to the surface as an aminoalc. (Si-NH-COH-). The surface coverage of the grafted aldehyde is calcd. as ~ 9.8 x 1014 cm-2 using a known IR absorbance cross-section for the -C(CH3)3 groups.
- 17Kim, H.-M.; Lee, J.-H.; Lee, S.-H.; Harada, R.; Shigetomi, T.; Lee, S.; Tsugawa, T.; Shong, B.; Park, J.-S. Area-Selective Atomic Layer Deposition of Ruthenium Using a Novel Ru Precursor and H2O as a Reactant. Chem. Mater. 2021, 33 (12), 4353– 4361, DOI: 10.1021/acs.chemmater.0c04496Google Scholar17https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXht1yhurfM&md5=c16c90aae635ec7abb4843d20c44aaa6Area-selective atomic layer deposition of ruthenium using Ru precursor and H2O as reactantKim, Hye-Mi; Lee, Jung-Hoon; Lee, Seung-Hwan; Harada, Ryosuke; Shigetomi, Toshiyuki; Lee, Seungjoon; Tsugawa, Tomohiro; Shong, Bonggeun; Park, Jin-SeongChemistry of Materials (2021), 33 (12), 4353-4361CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Ruthenium (Ru) has drawn attention in the field of future semiconductor processing as a diffusion barrier layer and an electrode material. Here, ruthenium films are deposited by at. layer deposition (ALD) using a novel precursor, Ru2{μ2-η3-N(tBu)-C(H)-C(iPr)}(CO)6 (T-Rudic), and two different co-reagents, i.e., H2O and O2. Ru films are deposited at 0.1 Å/cycle at 150 °C with H2O and 0.8 Å/cycle at 200 °C with O2. The H2O reactant set exhibits ALD satn. between 150 and 200 °C. However, the O2 reactant set shows a linear incremental growth rate over 200 °C and nongrowth under 175 °C. Film growth preference is obsd. on various substrates (e.g., Si, SiO2, Al2O3, and graphitic carbon) when the H2O reactant is applied at 150 °C. Both exptl. data and d. functional theory calcns. indicate that preferential growth occurs on a hydrogen-terminated surface (Si) rather than a hydroxyl-terminated surface (SiO2). The Auger electron spectroscopy mapping image of a selectively deposited Ru film on a patterned Si and SiO2 substrate supports that this selective deposition mechanism also occurs in a square-patterned substrate.
- 18Lee, J.; Lee, J.-M.; Oh, H.; Kim, C.; Kim, J.; Kim, D. H.; Shong, B.; Park, T. J.; Kim, W.-H. Inherently Area-Selective Atomic Layer Deposition of SiO2 Thin Films to Confer Oxide Versus Nitride Selectivity. Adv. Funct. Mater. 2021, 31 (33), 2102556 DOI: 10.1002/adfm.202102556Google Scholar18https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhsVegu7nK&md5=bd52db11fa987df3afb0f09a369ace85Inherently Area-Selective Atomic Layer Deposition of SiO2 Thin Films to Confer Oxide Versus Nitride SelectivityLee, Jinseon; Lee, Jeong-Min; Oh, Hongjun; Kim, Changhan; Kim, Jiseong; Kim, Dae Hyun; Shong, Bonggeun; Park, Tae Joo; Kim, Woo-HeeAdvanced Functional Materials (2021), 31 (33), 2102556CODEN: AFMDC6; ISSN:1616-301X. (Wiley-VCH Verlag GmbH & Co. KGaA)Area-selective at. layer deposition (AS-ALD) offers tremendous advantages in comparison with conventional top-down patterning processes that at.-level selective deposition can achieve in a bottom-up fashion on pre-defined areas in multi-dimensional structures. In this work, a method for exploiting substrate-dependent selectivity of aminosilane precursors for oxides vs. nitrides through chemo-selective adsorption is reported. For this purpose, AS-ALD of SiO2 thin films on SiO2 substrates rather than on SiN substrates are investigated. Theor. screening using d. functional theory (DFT) calcns. are performed to identify Si precursors that maximize adsorption selectivity; results indicate that di(isopropylamino)silane (DIPAS) has the potential to function as a highly chemo-selective precursor. Application of this precursor to SiN and SiO2 substrates result in inherent deposition selectivity of ≈4 nm without the aid of surface inhibitors. Furthermore, deposition selectivity is enhanced using an ALD-etch supercycle in which an etching step inserts periodically after a certain no. of ALD SiO2 cycles. Thereby, enlarged deposition selectivity greater than ≈10 nm is successfully achieved on both blanket- and SiO2/SiN-patterned substrates. Finally, area-selective SiO2 thin films over 4-5 nm are demonstrated inside 3D nanostructure. This approach for performing inherent AS-ALD expands the potential utility of bottom-up nanofabrication techniques for next-generation nanoelectronic applications.
- 19Li, Y.-C.; Cao, K.; Yu-Xiao, L.; Jing-Ming, Z.; Gong, M.; Yan-Wei, W.; Shan, B.; Chen, R. Inherently Area-Selective Atomic Layer Deposition of Manganese Oxide through Electronegativity-Induced Adsorption. Molecules 2021, 26 (10), 3056 DOI: 10.3390/molecules26103056Google Scholar19https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXht1OisL7F&md5=604e4304da864bd46dedbff0e376ca46Inherently area-selective atomic layer deposition of manganese oxide through electronegativity-induced adsorptionLi, Yi-Cheng; Cao, Kun; Lan, Yu-Xiao; Zhang, Jing-Ming; Gong, Miao; Wen, Yan-Wei; Shan, Bin; Chen, RongMolecules (2021), 26 (10), 3056CODEN: MOLEFW; ISSN:1420-3049. (MDPI AG)Manganese oxide (MnOx) shows great potential in the areas of nano-electronics, magnetic devices and so on. Since the characteristics of precise thickness control at the at. level and self-align lateral patterning, area-selective deposition (ASD) of the MnOx films can be used in some key steps of nanomanufg. In this work, MnOx films are deposited on Pt, Cu and SiO2 substrates using Mn(EtCp)2 and H2O over a temp. range of 80-215°C. Inherently area-selective at. layer deposition (ALD) of MnOx is successfully achieved on metal/SiO2 patterns. The selectivity improves with increasing deposition temp. within the ALD window. Moreover, it is demonstrated that with the decrease of electronegativity differences between M (M = Si, Cu and Pt) and O, the chemisorption energy barrier decreases, which affects the initial nucleation rate. The inherent ASD aroused by the electronegativity differences shows a possible method for further development and prediction of ASD processes.
- 20Bobb-Semple, D.; Zeng, L.; Cordova, I.; Bergsman, D. S.; Nordlund, D.; Bent, S. F. Substrate-Dependent Study of Chain Orientation and Order in Alkylphosphonic Acid Self-Assembled Monolayers for ALD Blocking. Langmuir 2020, 36 (43), 12849– 12857, DOI: 10.1021/acs.langmuir.0c01974Google Scholar20https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXitV2ntr3I&md5=0a416c78605f2fe5216acbf3a015306aSubstrate-Dependent Study of Chain Orientation and Order in Alkylphosphonic Acid Self-Assembled Monolayers for ALD BlockingBobb-Semple, Dara; Zeng, Li; Cordova, Isvar; Bergsman, David S.; Nordlund, Dennis; Bent, Stacey F.Langmuir (2020), 36 (43), 12849-12857CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)For years, many efforts in area selective at. layer deposition (AS-ALD) have focused on trying to achieve high-quality self-assembled monolayers (SAMs), which have been shown by a no. of studies to be effective for blocking deposition. Herein, we show that in some cases where a densely packed SAM is not formed, significant ALD inhibition may still be realized. The formation of octadecylphosphonic acid (ODPA) SAMs was evaluated on four metal substrates: Cu, Co, W, and Ru. The mol. orientation, chain packing, and relative surface coverage were evaluated using near-edge X-ray absorption fine structure (NEXAFS), Fourier transform IR (FTIR) spectroscopy, and electrochem. impedance spectroscopy (EIS). ODPA SAMs formed on Co, Cu, and W showed strong angular dependence of the NEXAFS signal whereas ODPA on Ru did not, suggesting a disordered layer was formed on Ru. Addnl., EIS and FTIR spectroscopy confirmed that Co and Cu form densely packed, "crystal-like" SAMs whereas Ru and W form less dense monolayers, a surprising result since W-ODPA was previously shown to inhibit the ALD of ZnO and Al2O3 best among all the substrates. This work suggests that multiple factors play a role in SAM-based AS-ALD, not just the SAM quality. Therefore, metrol. averaging techniques (e.g., WCA and FTIR spectroscopy) commonly used for evaluating SAMs to predict their suitability for ALD inhibition should be supplemented by more atomically sensitive methods. Finally, it highlights important considerations for describing the mechanism of SAM-based selective ALD.
- 21de Paula, C.; Bobb-Semple, D.; Bent, S. F. Increased selectivity in area-selective ALD by combining nucleation enhancement and SAM-based inhibition. J. Mater. Res. 2021, 36 (3), 582– 591, DOI: 10.1557/s43578-020-00013-4Google Scholar21https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitFSgtrfJ&md5=d96bb0df76a7ee887725cf6c05950b1cIncreased selectivity in area-selective ALD by combining nucleation enhancement and SAM-based inhibitionde Paula, Camila; Bobb-Semple, Dara; Bent, Stacey F.Journal of Materials Research (2021), 36 (3), 582-591CODEN: JMREEE; ISSN:2044-5326. (Springer International Publishing AG)An area-selective at. layer deposition (AS-ALD) process is developed that achieves increased selectivity by combining two strategies: (i) selective enhancement using a small mol. activator and (ii) self-assembled monolayer (SAM)-based inhibition. Specifically, we show that Pt can be selectively deposited on SiO2 over Co. In this process, Co, which serves as the non-growth surface, is protected by an octadecylphosphonic acid (ODPA) SAM, while the subsequent nucleation and growth of Pt on SiO2 is enhanced using a trimethylaluminum (AlMe3) pretreatment. This combination of enhancement and inhibition yields 3-6 times higher Pt coverage on the growth surface (SiO2) while maintaining selectivity of at least 0.98 after 100 Pt ALD cycles. Pt is used here as a model system, but this process can be extended for AS-ALD of other materials.
- 22Liu, T.-L.; Bent, S. F. Area-Selective Atomic Layer Deposition on Chemically Similar Materials: Achieving Selectivity on Oxide/Oxide Patterns. Chem. Mater. 2021, 33 (2), 513– 523, DOI: 10.1021/acs.chemmater.0c03227Google Scholar22https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXmvFWjtQ%253D%253D&md5=2a8be7619bdb830c6457ea00572724f7Area-selective atomic layer deposition on chemically similar materials: Achieving selectivity on oxide/oxide patternsLiu, Tzu-Ling; Bent, Stacey F.Chemistry of Materials (2021), 33 (2), 513-523CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Area-selective at. layer deposition (AS-ALD) is attracting increasing interest, but the process usually requires substrate materials with substantially different chem. properties. We introduce a process that expands the application to more general material systems by demonstrating AS-ALD on patterns with chem. similar materials. The substrate materials investigated are Al2O3, HfO2, TiO2, Ta2O5, and SiO2. By taking advantage of differential reactivity of octadecylphosphonic acid (ODPA) self-assembled monolayers (SAMs) on the various dielec. surfaces, we use the SAMs as ALD inhibitors to achieve selective ALD of both ZnO and Al2O3. With SiO2 as the growth surface, the best blocking performance against ZnO and Al2O3 ALD is achieved on ODPA-protected Al2O3 and HfO2 substrates which reach selectivities above 0.9 after 14 nm ZnO and 2.5 nm Al2O3 growth, resp., on control Si wafers. Selectivity between different metal oxides is also explored, including HfO2/Al2O3 patterns. With the optimization of solvent and ODPA SAM deposition time, selectivity above 0.9 can be achieved for at least 4 nm ZnO ALD on a HfO2 growth surface, while preventing growth on an Al2O3 nongrowth surface. This study introduces a strategy for achieving more general selectivity and opens up the possibility for new applications in next generation electronic devices.
- 23Liu, T.-L.; Zeng, L.; Nardi, K. L.; Hausmann, D. M.; Bent, S. F. Characterizing Self-Assembled Monolayer Breakdown in Area-Selective Atomic Layer Deposition. Langmuir 2021, 37 (39), 11637– 11645, DOI: 10.1021/acs.langmuir.1c02211Google Scholar23https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitVymtLrM&md5=ff4bb32d925676103422970ec4c7917fCharacterizing self-assembled monolayer breakdown in area-selective atomic layer depositionLiu, Tzu-Ling; Zeng, Li; Nardi, Katie L.; Hausmann, Dennis M.; Bent, Stacey F.Langmuir (2021), 37 (39), 11637-11645CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)To enable area-selective at. layer deposition (AS-ALD), self-assembled monolayers (SAMs) have been used as the surface inhibitor to block a variety of ALD processes. The integrity of the SAM throughout the ALD process is crit. to AS-ALD. Despite the demonstrated effectiveness of inhibition by SAMs, nucleation during ALD eventually occurs on SAM-protected surfaces, but its impact on SAM structures is still not fully understood. In this study, we chose the octadecyltrichlorosilane (ODTS) SAM as a model system to investigate the evolution of crystallinity and structure of SAMs before and after ALD. The breakdown behavior of SAMs when exposed to ZnO and Al2O3 ALD was systematically studied by combining synchrotron X-ray techniques and electron microscopy. We show that the crystallinity and structure of ODTS SAMs grown on Si substrates remain intact until a significant amt. of material deposition takes place. In addn., the undesired ALD materials that grow on ODTS SAMs present contrasting morphologies: dispersed nanoparticles for ZnO while relatively continuous film for Al2O3. Lastly, substrate dependency was explored by comparing a Si substrate to single-crystal sapphire. Similar results in the evolution of SAM crystallinity and formation of ALD nuclei on top of SAM are obsd. in the ODTS-sapphire system. This study provides an in-depth view of the influence of ALD processes on the SAM structure and the nucleation behavior of ALD on SAM-protected surfaces.
- 24Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F. Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition. ACS Nano 2015, 9 (9), 8710– 8717, DOI: 10.1021/acsnano.5b03125Google ScholarThere is no corresponding record for this reference.
- 25Liu, T. L.; Bent, S. F. Area-Selective Atomic Layer Deposition on Chemically Similar Materials: Achieving Selectivity on Oxide/Oxide Patterns. Chem. Mater. 2021, 33 (2), 513– 523, DOI: 10.1021/acs.chemmater.0c03227Google Scholar25https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXmvFWjtQ%253D%253D&md5=2a8be7619bdb830c6457ea00572724f7Area-selective atomic layer deposition on chemically similar materials: Achieving selectivity on oxide/oxide patternsLiu, Tzu-Ling; Bent, Stacey F.Chemistry of Materials (2021), 33 (2), 513-523CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Area-selective at. layer deposition (AS-ALD) is attracting increasing interest, but the process usually requires substrate materials with substantially different chem. properties. We introduce a process that expands the application to more general material systems by demonstrating AS-ALD on patterns with chem. similar materials. The substrate materials investigated are Al2O3, HfO2, TiO2, Ta2O5, and SiO2. By taking advantage of differential reactivity of octadecylphosphonic acid (ODPA) self-assembled monolayers (SAMs) on the various dielec. surfaces, we use the SAMs as ALD inhibitors to achieve selective ALD of both ZnO and Al2O3. With SiO2 as the growth surface, the best blocking performance against ZnO and Al2O3 ALD is achieved on ODPA-protected Al2O3 and HfO2 substrates which reach selectivities above 0.9 after 14 nm ZnO and 2.5 nm Al2O3 growth, resp., on control Si wafers. Selectivity between different metal oxides is also explored, including HfO2/Al2O3 patterns. With the optimization of solvent and ODPA SAM deposition time, selectivity above 0.9 can be achieved for at least 4 nm ZnO ALD on a HfO2 growth surface, while preventing growth on an Al2O3 nongrowth surface. This study introduces a strategy for achieving more general selectivity and opens up the possibility for new applications in next generation electronic devices.
- 26Merkx, M. J. M.; Jongen, R. G. J.; Mameli, A.; Lemaire, P. C.; Sharma, K.; Hausmann, D. M.; Kessels, W. M. M.; Mackus, A. J. M. Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO2. J. Vac. Sci. Technol.. A 2021, 39 (1), 012402 DOI: 10.1116/6.0000652Google Scholar26https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXisFWktrfJ&md5=ba1a741a028ea3baad08a5feb34dc856Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO2Merkx, Marc J. M.; Jongen, Rick G. J.; Mameli, Alfredo; Lemaire, Paul C.; Sharma, Kashish; Hausmann, Dennis M.; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2021), 39 (1), 012402CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)As the semiconductor industry progresses toward more complex multilayered devices with ever smaller features, accurately aligning these layers with respect to each other has become a bottleneck in the advancement to smaller transistor nodes. To avoid alignment issues, area-selective at. layer deposition (ALD) can be employed to deposit material in a self-aligned fashion. Previously, we demonstrated area-selective ALD of SiO2 using three-step (i.e., ABC-type) ALD cycles comprising an acetylacetone (Hacac) dose (step A), a bis(diethylamino)silane precursor dose (step B), and an O2 plasma exposure (step C). In this work, the mechanisms of the removal and reapplication of the inhibitor mols. during area-selective ALD were studied, with the aim of enhancing the selectivity of the process. In situ IR spectroscopy shows that the O2 plasma exposure does not completely remove the adsorbed Hacac species (i.e., acac adsorbates) at the end of the cycle. The persisting species were found to contain fragments of Hacac mols., which hinder subsequent inhibitor adsorption in the next ALD cycle, and thereby contribute to a loss in selectivity. Alternatively, it was found that an H2 plasma is able to completely remove all acac species from the surface. An improvement in selectivity was achieved by using a four-step ALD cycle that includes an H2 plasma step, allowing the nucleation delay to be prolonged from 18 2 to 30 ± 3 ALD cycles. As a result, 2.7 ± 0.3 nm SiO2 can be deposited with a selectivity of 0.9, whereas only 1.6 ± 0.2 nm can be achieved without the H2 plasma step. This work shows that the addn. of a dedicated inhibitor removal step before the reapplication of the inhibitors can significantly improve the selectivity. (c) 2021 American Institute of Physics.
- 27Rozyyev, V.; Murphy, J. G.; Barry, E.; Mane, A. U.; Sibener, S. J.; Elam, J. W. Vapor-phase grafting of a model aminosilane compound to Al2O3, ZnO, and TiO2 surfaces prepared by atomic layer deposition. Appl. Surf. Sci. 2021, 562, 149996 DOI: 10.1016/j.apsusc.2021.149996Google Scholar27https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXht1KgsrnN&md5=347e8d68e91cfe57240ff7dbf68d8284Vapor-phase grafting of a model aminosilane compound to Al2O3, ZnO, and TiO2 surfaces prepared by atomic layer depositionRozyyev, Vepa; Murphy, Julia G.; Barry, Edward; Mane, Anil U.; Sibener, S. J.; Elam, Jeffrey W.Applied Surface Science (2021), 562 (), 149996CODEN: ASUSEE; ISSN:0169-4332. (Elsevier B.V.)Atomic layer deposition (ALD) is a highly versatile surface functionalization technique that can conformally coat both planar and porous substrates. Here we use ALD metal oxide layers to establish a well-defined starting surface for vapor-phase surface org. modification. Vapor-phase (3-aminopropyl)triethoxysilane (APTES) surface silanization of ALD Al2O3, ZnO and TiO2 surfaces were studied at 100°C, 150°C and 200°C. In situ quartz crystal microbalance (QCM) and Fourier-transform IR (FTIR) spectroscopy measurements, and ex situ at. force microscopy (AFM) and XPS measurements showed uniform monolayer silane formation through self-limiting APTES reaction. We obsd. a higher surface d. of grafted APTES species following silanization at 100°C compared to 200°C, and we attribute this to the temp.-dependent reactivity of the surface hydroxyls and changes in the mode of APTES reaction. The FTIR and XPS measurements revealed that APTES reacts with Al2O3 and ZnO exclusively through metal siloxy bond formation. However, APTES reacts with TiO2 through both siloxy bond formation and ammonium salt formation via the amine group.
- 28Vos, M. F. J.; Chopra, S. N.; Ekerdt, J. G.; Agarwal, S.; Kessels, W. M. M.; Mackus, A. J. M. Atomic layer deposition and selective etching of ruthenium for area-selective deposition: Temperature dependence and supercycle design. J. Vac. Sci. Technol., A 2021, 39 (3), 032412 DOI: 10.1116/6.0000912Google Scholar28https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXosFCisLg%253D&md5=2bb65759719759beb0ff22f17faccfa7Atomic layer deposition and selective etching of ruthenium for area-selective deposition: Temperature dependence and supercycle designVos, Martijn F. J.; Chopra, Sonali N.; Ekerdt, John G.; Agarwal, Sumit; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2021), 39 (3), 032412CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)For future sub-5 nm technol. nodes, the fabrication of semiconductor devices will likely involve the use of area-selective at. layer deposition (ALD). While area-selective ALD processes have been reported for a variety of materials, most approaches yield a limited selectivity, for example, due to growth initiation at defects or impurities on the non-growth area. Recently, we demonstrated that Ru ALD can be combined with selective etching to achieve area-selective ALD of metal-on-metal with high selectivity. Cycles consisting of an O2 plasma and an H2 gas dose were integrated in an ALD-etch supercycle recipe to remove unwanted nuclei on the SiO2 non-growth area, while obtaining deposition on the Pt or Ru growth area. The current work discusses the challenging compromise that needs to be made between selectivity and net deposition, considering that the material is also removed from the growth area. After investigating deposition between 100 and 200°C on SiO2, Al2O3, Pt, and Ru in terms of selectivity and net deposition, a substrate temp. of 150°C was selected since the difference in Ru thickness on Pt and SiO2/Al2O3 was max. at this temp., even though still some deposition occurred on the SiO2 and Al2O3 non-growth areas. Different ALD-etch supercycles were studied, using varying O2 plasma etch times and etch frequencies. The amt. of the (undesired) material deposited on the SiO2 non-growth area was quantified, demonstrating that the selectivity improved for longer O2 plasma times. On the basis of the results, a simple math. description of the nucleation, growth, and etching effects during ALD-etch supercycles is discussed, which can assist the design of future area-selective deposition processes. Overall, this work illustrates how ALD and etch processes can be tuned to simultaneously obtain a high selectivity and a high net deposition of the material at the desired locations. (c) 2021 American Institute of Physics.
- 29Hong, J.; Porter, D. W.; Sreenivasan, R.; McIntyre, P. C.; Bent, S. F. ALD resist formed by vapor-deposited self-assembled monolayers. Langmuir 2007, 23 (3), 1160– 1165, DOI: 10.1021/la0606401Google Scholar29https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XhtlahsbrI&md5=fb63869523a8f75d74f733056440041fALD Resist Formed by Vapor-Deposited Self-Assembled MonolayersHong, Junsic; Porter, David W.; Sreenivasan, Raghavasimhan; McIntyre, Paul C.; Bent, Stacey F.Langmuir (2007), 23 (3), 1160-1165CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)A new process of applying mol. resists to block HfO2 and Pt at. layer deposition (ALD) has been investigated. Monolayer films are formed from octadecyltrichlorosilane (ODTS) or tridecafluoro-1,1,2,2-tetrahydrooctyltrichlorosilane (FOTS) and water vapor on native silicon oxide surfaces and from 1-octadecene on hydrogen-passivated silicon surfaces through a low-pressure chem. vapor deposition process. XPS data indicates that surfaces blocked by these monolayer resists can prevent at. layer deposition of both HfO2 and Pt successfully. Time-dependent studies show that the ODTS monolayers continue to improve in blocking ability for as long as 48 h of formation time, and IR spectroscopy measurements confirm an evolution of packing order over these time scales.
- 30Halls, M. D.; Raghavachari, K. Atomic layer deposition of Al2O3 on H-passivated Si.: I.: Initial surface reaction pathways with H/Si(100)-2 × 1. J. Chem. Phys. 2003, 118 (22), 10221– 10226, DOI: 10.1063/1.1571513Google Scholar30https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXktVaktro%253D&md5=e92cecb9219e85ad6957c032c4e638ddAtomic layer deposition of Al2O3 on H-passivated Si. I. Initial surface reaction pathways with H/Si(100)-2×1Halls, Mathew D.; Raghavachari, KrishnanJournal of Chemical Physics (2003), 118 (22), 10221-10226CODEN: JCPSA6; ISSN:0021-9606. (American Institute of Physics)Aluminum oxide (Al2O3) grown by at. layer deposition (ALD) is currently under investigation for use as a high-k gate dielec. alternative to SiO2. Cluster calcns. employing hybrid d. functional theory have been carried out to examine the chem. reaction pathways between the ALD precursors, trimethylaluminum (TMA) and H2O, with the H/Si(100)-2×1 surface. Results obtained using Si9H14 and Si15H20, dimer and double dimer clusters to represent the surface active site are in good agreement, providing a consistent view of reaction energetics on the H/Si(100)-2×1 surface. The adsorption energies for TMA and H2O on the surface are calcd. to be 0.02 and 0.15 eV, resp. For the reaction between H2O and the H/Si(100)-2×1 surface, hydroxylation of the surface accompanied by loss of H2 was found to be the preferred pathway having an activation energy and overall reaction enthalpy of 1.60 eV and -0.75 eV, both of which are ≥0.70 eV lower than the corresponding values for the possible H/D exchange reaction. TMA exposure of the H/Si(100)-2×1 surface favors the deposition of -Al(CH3)2 with loss of CH4, having a barrier height of 1.30 eV and reaction enthalpy of -0.31 eV, which are 0.10 and 0.40 eV lower than the surface methylation pathway (H/CH3 exchange) and 2.64 and 0.45 eV lower in energy than the H2 loss reaction, which results in the deposition of -CH2-Al(CH3)2 to the surface. Therefore, the dominant reactions identified in this work are those with direct implication in the Al2O3 ALD growth mechanism, leading to the formation of Si-O and Si-Al species on the H/Si(100)-2×1 surface.
- 31Tai, T. B.; Cao, L. A.; Mattelaer, F.; Rampelberg, G.; Hashemi, F. S. M.; Dendooven, J.; van Ommen, J. R.; Detavernier, C.; Reyniers, M. F. Atomic Layer Deposition of Al2O3 Using Aluminum Triisopropoxide (ATIP): A Combined Experimental and Theoretical Study. J. Phys. Chem. C 2019, 123 (1), 485– 494, DOI: 10.1021/acs.jpcc.8b09198Google Scholar31https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXisVOit7fO&md5=01b81ee410fbe52c18768335ff4bc3c3Atomic Layer Deposition of Al2O3 Using Aluminum Triisopropoxide (ATIP): A Combined Experimental and Theoretical StudyTai, Truong Ba; Cao, LiAo; Mattelaer, Felix; Rampelberg, Geert; Hashemi, Fatemeh S. M.; Dendooven, Jolien; van Ommen, J. Ruud; Detavernier, Christophe; Reyniers, Marie-FrancoiseJournal of Physical Chemistry C (2019), 123 (1), 485-494CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)The aluminum precursor plays a crucial role in the Al2O3 at. layer deposition (ALD) process. To date, trimethylaluminum (TMA) is 1 of the most widely used precursors in exptl. and theor. studies. However, its application at industrial scale can pose safety risks since it is pyrophoric and extremely reactive with water. Aluminum alkoxides offer a promising alternative, but have received far less attention. A combined theor. and exptl. investigation is carried out on the Al2O3 ALD process using aluminum triisopropoxide (ATIP) as a prototypical example of Al-alkoxide precursors. The thermal ALD process using ATIP and water has a maximal growth per cycle (GPC) of 1.8 Å/cycle at 150-175°. On the basis of the in situ mass spectrometry anal. and DFT calcns., the formation of the alumina film mainly occurs during the water pulse by ligand exchange reactions between water and adsorbed precursors, while during the ATIP pulse only adsorption of ATIP and/or its dissocn. occur. Design of heteroleptic precursors contg. alkoxide group as basic ligand is challenging, but greatly promising for future industrial scale Al2O3 ALD.
- 32Perrine, K. A.; Teplyakov, A. V. Reactivity of selectively terminated single crystal silicon surfaces. Chem. Soc. Rev. 2010, 39 (8), 3256– 3274, DOI: 10.1039/b822965cGoogle Scholar32https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXptFyhu70%253D&md5=e83961888dbbe9aa872d10fc98395a39Reactivity of selectively terminated single crystal silicon surfacesPerrine, Kathryn A.; Teplyakov, Andrew V.Chemical Society Reviews (2010), 39 (8), 3256-3274CODEN: CSRVBR; ISSN:0306-0012. (Royal Society of Chemistry)A review. As the cornerstone of multiple practical applications, silicon single crystal surfaces have attracted the interest of scientific and engineering communities for several decades. The most recent advances employ the surfaces precovered with a specific functionality to extend into the realm of org. and metal-org. films with well-defined interfaces, to protect the surfaces from oxidn. and other contaminations, and to build the components of present and future mol. electronics and sensing devices. This crit. review will focus on the reactivity of the selectively terminated Si(100) and Si(111) surfaces. The hydrogen and halogen-terminated surfaces are the most widely used and most heavily reviewed previously, thus only a brief summary will be given here with the emphasis of the most recent thermal approaches to functionalization of hydrogen-terminated silicon. The silicon surfaces precovered with NHx functionality are emerging as a very likely candidate both for the prodn. of sharp interfaces and for coadsorption, co-assembly, and potential mol. templating of patterns on single cryst. surfaces. A brief overview of recent advances in achieving control over the hydroxyl-termination of silicon will be given. Some future directions for further development of chem., reactivity, and assembly on these surfaces, as well as potential applications, are highlighted in the last section (152 refs.).
- 33Clark, R.; Tapily, K.; Yu, K. H.; Hakamata, T.; Consiglio, S.; O’Meara, D.; Wajda, C.; Smith, J.; Leusink, G. Perspective: New process technologies required for future devices and scaling. APL Mater. 2018, 6 (5), 058203 DOI: 10.1063/1.5026805Google Scholar33https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhtVGisr7L&md5=23afc1e8855db73cb2a0d6e2f2017d77Perspective: New process technologies required for future devices and scalingClark, R.; Tapily, K.; Yu, K.-H.; Hakamata, T.; Consiglio, S.; O'Meara, D.; Wajda, C.; Smith, J.; Leusink, G.APL Materials (2018), 6 (5), 058203/1-058203/12CODEN: AMPADS; ISSN:2166-532X. (American Institute of Physics)This paper presents an overview and perspective on processing technologies required for continued scaling of leading edge and emerging semiconductor devices. We introduce the main drivers and trends affecting future semiconductor device scaling and provide examples of emerging devices and architectures that may be implemented within the next 10-20 yr. We summarize multiple active areas of research to explain how future thin film deposition, etch, and patterning technologies can enable 3D (vertical) power, performance, area, and cost scaling. Emerging and new process technologies will be required to enable improved contacts, scaled and future devices and interconnects, monolithic 3D integration, and new computing architectures. These process technologies are explained and discussed with a focus on opportunities for continued improvement and innovation. (c) 2018 American Institute of Physics.
- 34He, J.; Patitsas, S. N.; Preston, K. F.; Wolkow, R. A.; Wayner, D. D. M. Covalent bonding of thiophenes to Si(111) by a halogenation/thienylation route. Chem. Phys. Lett. 1998, 286 (5–6), 508– 514, DOI: 10.1016/S0009-2614(98)00128-6Google Scholar34https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK1cXit1Sktrc%253D&md5=7698902377461be487a504ba85d799eaCovalent bonding of thiophenes to Si(111) by a halogenation/thienylation routeHe, J.; Patitsas, S. N.; Preston, K. F.; Wolkow, R. A.; Wayner, D. D. M.Chemical Physics Letters (1998), 286 (5,6), 508-514CODEN: CHPLBC; ISSN:0009-2614. (Elsevier Science B.V.)Thienyl monolayers covalently bonded to a Si(111) surface were prepd. by a wet chem. process in which a Si(111)-H surface was brominated to form Si(111)-Br and further reacted with lithiated thiophenes. Both N-bromosuccinimide and bromochloroform were found to be effective brominating reagents. The derivatized Si(111) surfaces were characterized by XPS, AES, ATR-FTIR and NEXAFS.
- 35Yu, W. H.; Kang, E. T.; Neoh, K. G.; Zhu, S. P. Controlled grafting of well-defined polymers on hydrogen-terminated silicon substrates by surface-initiated atom transfer radical polymerization. J. Phys. Chem. B 2003, 107 (37), 10198– 10205, DOI: 10.1021/jp034330sGoogle Scholar35https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXmtl2ltro%253D&md5=2e2f7439a4bce6c33e353395961b650fControlled grafting of well-defined polymers on hydrogen-terminated silicon substrates by surface-initiated atom transfer radical polymerizationYu, W. H.; Kang, E. T.; Neoh, K. G.; Zhu, ShipingJournal of Physical Chemistry B (2003), 107 (37), 10198-10205CODEN: JPCBFK; ISSN:1520-6106. (American Chemical Society)Controlled grafting of well-defined polymer brushes on the hydrogen-terminated Si(100) substrates (the Si-H substrate) was carried out via the surface-initiated atom transfer radical polymn. (ATRP). Surface initiators were immobilized on the Si-H substrates in three consecutive steps: (i) coupling of an ω-unsatd. alkyl ester to the Si-H surface under UV irradn., (ii) redn. of the ester groups by LiAlH4, and (iii) esterification of the surface-tethered hydroxyl groups with 2-bromoisobutyrate bromide. Homopolymer brushes of Me methacrylate (MMA), (2-dimethylamino)ethyl methacrylate (DMAEMA), and poly(ethylene glycol) monomethacrylate (PEGMA) were prepd. by ATRP from the α-bromoester functionalized silicon surface. The chem. compn. and topog. of the graft-functionalized silicon surfaces were characterized by XPS and at. force microscopy (AFM), resp. Kinetic studies revealed a linear increase in polymer film thickness with reaction time, indicating that chain growth from the surface was a controlled process with a "living" characteristic. Diblock copolymer brushes consisting of PMMA and PDMAEMA blocks were obtained by using the homopolymer brushes as the macroinitiators for the ATRP of the second monomer, providing further evidence to the existence of "living" chain ends. ATRP from the Si-H surfaces allowed the prepn. of polymeric-inorg. hybrid materials with well-structured surface and interface.
- 36Coffinier, Y.; Piret, G.; Das, M. R.; Boukherroub, R. Effect of surface roughness and chemical composition on the wetting properties of silicon-based substrates. C. R. Chim. 2013, 16 (1), 65– 72, DOI: 10.1016/j.crci.2012.08.011Google Scholar36https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XhtlKit7jJ&md5=9abc75b37953b942603bcecf4072fbb4Effect of surface roughness and chemical composition on the wetting properties of silicon-based substratesCoffinier, Yannick; Piret, Gaelle; Das, Manash R.; Boukherroub, RabahComptes Rendus Chimie (2013), 16 (1), 65-72CODEN: CRCOCR; ISSN:1631-0748. (Elsevier Masson SAS)The article reports on the wetting properties of Si-based materials as a function of their roughness and chem. compn. The studied surfaces consist of H-terminated and chem. modified atomically flat cryst. Si, porous Si and Si nanowires. The hydrogenated surfaces are functionalized with 1-octadecene or undecylenic acid under thermal conditions. The changes occurring upon surface functionalization are characterized using FTIR spectroscopy, XPS spectroscopy and H2O contact angle measurements. By increasing the surface roughness, the static H2O contact angle increases. The combination of high surface roughness with chem. functionalization with H2O repellent coating (1-octadecene) enables reaching superhydrophobicity (water contact angle >150°) for Si nanowires.
- 37Frederick, E.; Dwyer, K. J.; Wang, G. T.; Misra, S.; Butera, R. E. The stability of Cl-, Br-, and I-passivated Si(100)-(2 × 1) in ambient environments for atomically-precise pattern preservation. J. Phys.: Condens. Matter 2021, 33 (44), 444001 DOI: 10.1088/1361-648x/ac1aa4Google Scholar37https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitFOjtL3O&md5=f1e6d47da3be37545bd93b8955ef3ce5The stability of Cl-, Br-, and I-passivated Si(100)-(2 x 1) in ambient environments for atomically-precise pattern preservationFrederick, E.; Dwyer, K. J.; Wang, G. T.; Misra, S.; Butera, R. E.Journal of Physics: Condensed Matter (2021), 33 (44), 444001CODEN: JCOMEL; ISSN:0953-8984. (IOP Publishing Ltd.)Atomic precision advanced manufg. (APAM) leverages the highly reactive nature of Si dangling bonds relative to H- or Cl-passivated Si to selectively adsorb precursor mols. into lithog. defined areas with sub-nanometer resoln. Due to the high reactivity of dangling bonds, this process is confined to ultra-high vacuum (UHV) environments, which currently limits its commercialization and broad-based appeal. In this work, we explore the use of halogen adatoms to preserve APAM-derived lithog. patterns outside of UHV to enable facile transfer into real-world com. processes. Specifically, we examine the stability of H-, Cl-, Br-, and I-passivated Si(100) in inert N2 and ambient environments. Characterization with scanning tunneling microscopy and XPS confirmed that each of the fully passivated surfaces were resistant to oxidn. in 1 atm of N2 for up to 44 h. Varying levels of surface degrdn. and contamination were obsd. upon exposure to the lab. ambient environment. Characterization by ex situ XPS after ambient exposures ranging from 15 min to 8 h indicated the Br- and I-passivated Si surfaces were highly resistant to degrdn., while Cl-passivated Si showed signs of oxidn. within minutes of ambient exposure. As a proof-of-principle demonstration of pattern preservation, a H-passivated Si sample patterned and passivated with independent Cl, Br, I, and bare Si regions was shown to maintain its integrity in all but the bare Si region post-exposure to an N2 environment. The successful demonstration of the preservation of APAM patterns outside of UHV environments opens new possibilities for transporting atomically-precise devices outside of UHV for integrating with non-UHV processes, such as other chemistries and com. semiconductor device processes.
- 38Rogozhina, E.; Belomoin, G.; Smith, A.; Abuhassan, L.; Barry, N.; Akcakir, O.; Braun, P. V.; Nayfeh, M. H. Si-N linkage in ultrabright, ultrasmall Si nanoparticles. Appl. Phys. Lett. 2001, 78 (23), 3711– 3713, DOI: 10.1063/1.1377619Google Scholar38https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXjvF2mtLo%253D&md5=e071b9244bc693351cf17ffa40a08c50Si-N linkage in ultra-bright, ultrasmall Si nanoparticlesRogozhina, E.; Belomoin, G.; Smith, A.; Abuhassan, L.; Barry, N.; Akcakir, O.; Braun, P. V.; Nayfeh, M. H.Applied Physics Letters (2001), 78 (23), 3711-3713CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)Ultra-bright ultrasmall (∼1 nm) blue luminescent Si29 nanoparticles are chlorinated by reaction with Cl2 gas. A Si-N linkage is formed by the reaction of the chlorinated particles with the functional amine group in butylamine. FTIR spectroscopy and x-ray photo-spectroscopy measurements confirm the N linkage and the presence of the Bu group, while emission, excitation, and autocorrelation femtosecond optical spectroscopy show that, after the linkage formation, the particles with the ultra-bright blue luminescence remain, but with a red shift of 40 nm.
- 39Ferng, S. S.; Wu, S. T.; Lin, D. S.; Chiang, T. C. Mediation of chain reactions by propagating radicals during halogenation of H-masked Si(100): Implications for atomic-scale lithography and processing. J. Chem. Phys. 2009, 130 (16), 164706 DOI: 10.1063/1.3122987Google Scholar39https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXlsVGgtbo%253D&md5=efa0e139a3d458ca31e5dcf439bb4d43Mediation of chain reactions by propagating radicals during halogenation of H-masked Si(100): Implications for atomic-scale lithography and processingFerng, Shyh-Shin; Wu, Shiao-Ting; Lin, Deng-Sung; Chiang, Tai C.Journal of Chemical Physics (2009), 130 (16), 164706/1-164706/5CODEN: JCPSA6; ISSN:0021-9606. (American Institute of Physics)Scanning tunneling microscopy reveals a free radical-induced surface chain reaction in the chlorination of nanoscale patterns on an otherwise H-passivated (masked) Si(100). While scanning probe methods can be used to pattern active surface regions with single-bond precision, follow-up selective chem. vapor deposition with polyat. mols. can produce various filling characteristics. On active surface regions, mol. Cl2 undergoes an atom abstraction reaction in which a Si dangling bond abstrs. one atom of the incident Cl2 mol. while the complementary Cl atom is scattered away from the initial abstraction site either back into the vacuum or to be captured by a second dangling bond and adsorbed there, or to react with a nearby adsorbed H atom to form volatile HCl. In contrast, I2 undergoes only dissociative adsorption on two immediately neighboring dangling bonds, whereby two I-Si bonds are formed simultaneously upon cleavage of the I2 bond. The different chemisorption processes of the two model diat. mol. gases place intrinsic limitations on at.-scale lithog. and processing: adsorption of Cl2 results in spillage over the prepatterned regions of active bonds. In contrast, adsorption of I2 is a pair process and results in under-filling. (c) 2009 American Institute of Physics.
- 40Rivillon, S.; Brewer, R. T.; Chabal, Y. J. Water reaction with chlorine-terminated silicon (111) and (100) surfaces. Appl. Phys. Lett. 2005, 87 (17), 173118 DOI: 10.1063/1.2119426Google Scholar40https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2MXhtFykt7nM&md5=7f01fbb0c1100e1f42bf3d3f1d3cae85Water reaction with chlorine-terminated silicon (111) and (100) surfacesRivillon, Sandrine; Brewer, Rhett T.; Chabal, Yves J.Applied Physics Letters (2005), 87 (17), 173118/1-173118/3CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)Hydroxylation of chlorine-terminated silicon (111) and (100) by water vapor exposure has been studied as a function of substrate temp. using in situ IR absorption spectroscopy. No hydroxyl is obsd., as would have been expected from the surface reaction: Si-Cl(ads)+H2O(g) Si-OH(ads)+HCl(g) (ads referring to adsorbed surface species). Instead, silicon oxide is formed upon removal of the Si-Cl bond at ∼325 °C. This indicates that, while hydroxylation of the Cl-terminated silicon surface may occur, the hydroxylated surface formed by this reaction is not stable at the temp. necessary for the reaction.
- 41Dumas, P.; Chabal, Y. J.; Jakob, P. Morphology of Hydrogen-Terminated Si(111) and Si(100) Surfaces Upon Etching in Hf and Buffered-Hf Solutions. Surf. Sci. 1992, 269-270, 867– 878, DOI: 10.1016/0039-6028(92)91363-GGoogle Scholar41https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK38XksFKmtbY%253D&md5=9e751e4339b16a4a924e7c8192150255Morphology of hydrogen-terminated silicon(111) and Si(100) surfaces upon etching in hydrofluoric acid and buffered-HF solutionsDumas, P.; Chabal, Y. J.; Jakob, P.Surface Science (1992), 269-270 (Pt. B), 867-78CODEN: SUSCAS; ISSN:0039-6028.High resoln. EELS and IR absorption spectroscopy (IRAS) were used to characterize Si(111) and Si(100) surfaces after chem. etching in solns. of HF and buffered HF. The EELS spectra confirm that the HF-etched Si surfaces are terminated completely by H, and display essentially no loss features attributable to at. or mol. impurities. IRAS and specular beam EELS measurements show that Si(111) surfaces become flatter as the pH of the etching solns. increases from 1 (concd. HF) to 7.8 (NH4F soln.). For high pH, the (111) surfaces are atomically flat and ideally H-terminated. In contrast, the Si(100) surfaces remain rough for all pH. The surface morphol., however, depends critically on the pH and varies most for pH between 5 and 7. The EELS spectra are dominated by impact scattering and the loss features show no measurable dispersion except for the atomically smooth, ideally H-terminated Si(111) surface.
- 42Layadi, N.; Donnelly, V. M.; Lee, J. T. C. Cl-2 plasma etching of Si(100): Nature of the chlorinated surface layer studied by angle-resolved x-ray photoelectron spectroscopy. J. Appl. Phys. 1997, 81 (10), 6738– 6748, DOI: 10.1063/1.365216Google Scholar42https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK2sXjt1Wksrw%253D&md5=98826aa388315141eb30ab528552e608Cl2 plasma etching of Si(100): nature of the chlorinated surface layer studied by angle-resolved x-ray photoelectron spectroscopyLayadi, N.; Donnelly, V. M.; Lee, J. T. C.Journal of Applied Physics (1997), 81 (10), 6738-6748CODEN: JAPIAU; ISSN:0021-8979. (American Institute of Physics)The interaction of a Cl2 plasma with a Si(100) surface has been investigated by angle resolved XPS (XPS). It was found that the amt. of chlorine incorporated into the near-surface region of Si increases with ion energy, and does not change with long exposure to the plasma. Chlorine is present as SiClx (x=1-3) with av. relative coverages (integrated over depth) of [SiCl]:[SiCl2]:[SiCl3]≃1:0.33.0.13 at -240 V dc bias (mean ion energy ≈280 eV) and 1.0.34:0.087 at 0 V dc bias (mean ion energy ≈40 eV), at XPS (XPS) binding energies of 100.2, 101.2 and 102.3 eV, resp. Moreover, there is a substantial amt. of disordered Si within the chlorinated layer at high ion energy, reflected in a broadening of the 99.4 eV Si peak and the appearance of a shoulder at 98.8 eV, ascribed to Si with a dangling bond. In addn., bulk Si plasmon loss assocd. with the Cl(2p) and Cl(2s) core levels indicate that roughly one-third of the Cl in the near-surface region is surrounded by bulklike Si at the high ion energy. Modeling of the dependence of the relative concn. of Cl on the take-of angle was used to est. the Cl content and thickness of the surface layer. From an inversion of the obsd. take-off angle dependence of the relative Cl and Si XPS signals, depth profiles were derived for the near-surface region. Cl content falls off in a graded fashion, over a depth of about 25 and 13 Å for a mean ion energies of 280 and 40 eV, resp. The Cl areal d. (coverage integrated throughout the layer) increases with increasing mean ion energy from 1.8×1015 Cl/cm2 at 40 eV to 3.5×1015 Cl/cm2 at 280 eV. From a similar inversion of the take-off angle dependence of the SiClx signals, SiCl2 and SiCl3 are found to be largely confined at the top ∼5 Å, while below the surface, disordered Si and SiCl are present.
- 43Butera, R. E.; Suwa, Y.; Hashizume, T.; Weaver, J. H. Adsorbate-mediated step transformations and terrace rearrangement of Si(100)-(2 × 1). Phys. Rev. B 2009, 80 (19), 193307 DOI: 10.1103/physrevb.80.193307Google Scholar43https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXhsFarsbzP&md5=c7a2c22d06cbf57eed0029c2ca9cd0ceAdsorbate-mediated step transformations and terrace rearrangement of Si(100)-(2 × 1)Butera, R. E.; Suwa, Yuji; Hashizume, Tomihiro; Weaver, J. H.Physical Review B: Condensed Matter and Materials Physics (2009), 80 (19), 193307/1-193307/4CODEN: PRBMDO; ISSN:1098-0121. (American Physical Society)Scanning tunneling microscopy and d.-functional theory have been combined to demonstrate structural transformations of steps of Si(100)-(2×1) induced by nondangling bond-terminated Cl adsorbates. We identify a stable, bridge-bonded step adsorption site and show that supersatn. facilitates the population of those sites, leading to rebonded atom etching, step retreat, and extensive terrace rearrangement from the diffusion of resultant at. vacancy lines across the supersatd. surface. Similarities to H-Si(100) are briefly discussed.
- 44Aldao, C. M.; Agrawal, A.; Butera, R. E.; Weaver, J. H. Atomic processes during Cl supersaturation etching of Si(100)-(2 × 1). Phys. Rev. B 2009, 79 (12), 125303 DOI: 10.1103/physrevb.79.125303Google Scholar44https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXktFais74%253D&md5=a7a06f02fb61401910a0bd3aee240f69Atomic processes during Cl supersaturation etching of Si(100)-(2×1)Aldao, C. M.; Agrawal, Abhishek; Butera, R. E.; Weaver, J. H.Physical Review B: Condensed Matter and Materials Physics (2009), 79 (12), 125303/1-125303/5CODEN: PRBMDO; ISSN:1098-0121. (American Physical Society)Supersatn. etching starts with Cl insertion into Si-Si bonds of Si(100) and leads to the desorption of SiCl2 pairs. During etching, insertion occurs through a Cl2 dissociative chemisorption process mediated by single dangling bond sites created by phonon-activated electron-stimulated desorption of at. Cl. Based on scanning tunneling microscopy results, the authors identify a surface species, describe its involvement in supersatn. etching, and explore the energetics that control this process. In doing so, insertion occurs at room temp. and paired dangling bonds of bare dimers also mediate this process.
- 45Biswas, S.; Narasimhan, S. Bromine as a Preferred Etchant for Si Surfaces in the Supersaturation Regime: Insights from Calculations of Atomic Scale Reaction Pathways. J. Phys. Chem. C 2016, 120 (28), 15230– 15234, DOI: 10.1021/acs.jpcc.6b04450Google Scholar45https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhtVymsb3N&md5=58e76fa252240d89318652f684cdf43aBromine as a Preferred Etchant for Si Surfaces in the Supersaturation Regime: Insights from Calculations of Atomic Scale Reaction PathwaysBiswas, Sananda; Narasimhan, ShobhanaJournal of Physical Chemistry C (2016), 120 (28), 15230-15234CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)Etching of semiconductors by halogens is of vital importance in device manuf. A greater understanding of the relevant processes at the atomistic level can help det. optimal conditions for etching to be carried out. Supersatn. etching is a seemingly counterintuitive process where the coverage of the etchant mols. on the surface to be etched is >1. Here we use d. functional theory computations of reaction pathways and barriers to suggest that supersatn. etching of Si(001) by Br2 should be more effective than conventional etching by Br2, as well as both conventional and supersatn. etching by Cl2. Anal. of our results shows that this is due in part to the larger size of bromine atoms, and partly due to Br-Si bonds being weaker than Cl-Si bonds. We also show that, for both conventional and supersatn. etching, the barrier for the rate-limiting step of desorption of SiX2 units is lower when the halogen X is Br rather than Cl. This contributes to the overall reaction barrier for supersatn. etching being lower for Br2 than for Cl2.
- 46Pavlova, T. V.; Shevlyuga, V. M.; Andryushechkin, B. V.; Eltsov, K. N. Chlorine insertion and manipulation on the Si(100)-2 × 1-Cl surface in the regime of local supersaturation. Phys. Rev. B 2020, 101 (23), 235410 DOI: 10.1103/physrevb.101.235410Google Scholar46https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXhsFeksbfL&md5=60ff4ea5cbc9a3892c6bbd7eba935204Chlorine insertion and manipulation on the Si(100)-2x1-Cl surface in the regime of local supersaturationPavlova, T. V.; Shevlyuga, V. M.; Andryushechkin, B. V.; Eltsov, K. N.Physical Review B (2020), 101 (23), 235410CODEN: PRBHB7; ISSN:2469-9969. (American Physical Society)We insert and manipulate a single chlorine atom in chlorine monolayer on a Si(100)-2x1 surface using a scanning tunneling microscope. Two objects were created-a Cl atom in a groove between two dimer rows, and bridge-bonded Cl on a silicon dimer. Changing the voltage polarity leads to conversion of the objects into each other. Anisotropic movement of the objects at 77 K is mediated by two different diffusion mechanisms: hopping and crowdion-like motion. Insertion of a Cl atom in a groove between two dimer rows leads to the formation of a dangling bond on a third-layer Si atom. At pos. sample voltage bias, the first object is pos. charged while the second object can be neutral or neg. charged depending on silicon sample doping.
- 47Rivillon, S.; Chabal, Y. J.; Webb, L. J.; Michalak, D. J.; Lewis, N. S.; Halls, M. D.; Raghavachari, K. Chlorination of hydrogen-terminated silicon(111) surfaces. J. Vac. Sci. Technol., A 2005, 23 (4), 1100– 1106, DOI: 10.1116/1.1861941Google Scholar47https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2MXmt1OgtLs%253D&md5=750368823954803f537fdd05eefe96efChlorination of hydrogen-terminated silicon (111) surfacesRivillon, Sandrine; Chabal, Yves J.; Webb, Lauren J.; Michalak, David J.; Lewis, Nathan S.; Halls, Mathew D.; Raghavachari, KrishnanJournal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2005), 23 (4), 1100-1106CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)IR absorption spectroscopy was used to investigate the chlorination of H-terminated Si(111) surfaces by 3 different methods: (a) exposure to a satd. soln. of PCl5 in chlorobenzene; (b) exposure to Cl gas, Cl2(g), and (c) exposure to Cl2(g) under UV illumination. XPS and first principles model (clusters) calcns. were used to explore the structure and dynamics of these surfaces. The IR spectra exhibited sharp Cl-related vibrations at 586 and 527 cm-1. The narrow full width at half max. of these vibrations for all 3 prepn. methods indicated that all functionalization schemes produced a nearly complete monolayer of Cl with little surface roughening or introduction of step edges. The 527 cm-1 mode was at a much higher frequency than might be expected for the bending vibration of Si monochloride. Theor. calcns. show, however, that this vibration involves the displacement of the top Si atom parallel to the surface, subject to a relatively stiff potential, shifting its frequency to a value fairly close to that of the Si-Cl stretching mode on a Si(111) surface.
- 48Solares, S. D.; Yu, H. B.; Webb, L. J.; Lewis, N. S.; Heath, J. R.; Goddard, W. A. Chlorination-methylation of the hydrogen-terminated silicon(111) surface can induce a stacking fault in the presence of etch pits. J. Am. Chem. Soc. 2006, 128 (12), 3850– 3851, DOI: 10.1021/ja055408gGoogle Scholar48https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XhvF2is7k%253D&md5=67cc900d82b1bcbe5b378dc881ee04c5Chlorination-Methylation of the Hydrogen-Terminated Silicon(111) Surface Can Induce a Stacking Fault in the Presence of Etch PitsSolares, Santiago D.; Yu, Hongbin; Webb, Lauren J.; Lewis, Nathan S.; Heath, James R.; Goddard, William A., IIIJournal of the American Chemical Society (2006), 128 (12), 3850-3851CODEN: JACSAT; ISSN:0002-7863. (American Chemical Society)Recently, we reported STM images of the methylated Si(111) surface [prepd. through chlorination-alkylation of the Si(111)-H surface] taken at 4.7 K, indicating that the torsion angle of the Me group with respect to the subsurface silicon layer is φ = 23 ± 3°. Repulsions between H atoms in adjacent Me groups are minimized at 30°, while repulsions between H atoms and second layer Si atoms are minimized at 60°. The exptl. result of 23° is surprising because it suggests a tendency of the Me group toward the eclipsed configuration (0°) rather than staggered (60°). In contrast, extensive fully periodic quantum mech. D. Functional Theory studies of this surface give an equil. torsion angle of 37.5°, indicating a tendency toward the staggered configuration. This discrepancy can be resolved by showing that the CH3 on the step edges and etch pits interacts repulsively with the CH3 on the surface terraces unless a stacking fault is introduced between the first and second silicon layers of the Si(111)-CH3 surface terraces. We propose that this could occur during the chlorination-alkylation of the Si(111)-H surface. This stacking fault model predicted φ = 22.5° measured with respect to the bulk (corresponding to φ = 37.5° with respect to the second layer Si atoms). This model can be tested by measuring the orientation of the CH3 within the etch pits, which should have φ = 37.5°, or by making a surface without etch pits, which should have φ = 37.5°.
- 49Kornev, A. N. Tris(trimethylsilyl)silyl group in organic, coordination and organometallic chemistry. Russ. Chem. Rev. 2004, 73 (11), 1155– 1180Google ScholarThere is no corresponding record for this reference.
- 50Suarez, D.; Laval, G.; Tu, S. M.; Jiang, D.; Robinson, C. L.; Scott, R.; Golding, B. T. Benzylic Brominations with N-Bromosuccinimide in (Trifluoromethyl)benzene. Synthesis 2009, 2009 (11), 1807– 1810, DOI: 10.1055/s-0029-1216793Google ScholarThere is no corresponding record for this reference.
- 51Parke, T.; Silva-Quinones, D.; Wang, G. T.; Teplyakov, A. V. The Effect of Surface Terminations on the Initial Stages of TiO2 Deposition on Functionalized Silicon. ChemPhysChem 2023, 24, e202200724 DOI: 10.1002/cphc.202200724Google Scholar51https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3sXpt1ChsQ%253D%253D&md5=045158dfca675ef8a89c62452f2dd902The Effect of Surface Terminations on the Initial Stages of TiO2 Deposition on Functionalized SiliconParke, Tyler; Silva-Quinones, Dhamelyz; Wang, George T.; Teplyakov, Andrew V.ChemPhysChem (2023), 24 (7), e202200724CODEN: CPCHFT; ISSN:1439-4235. (Wiley-VCH Verlag GmbH & Co. KGaA)As at. layer deposition (ALD) emerges as a method to fabricate architectures with at. precision, emphasis is placed on understanding surface reactions and nucleation mechanisms. ALD of titanium dioxide with TiCl4 and water has been used to investigate deposition processes in general, but the effect of surface termination on the initial TiO2 nucleation lacks needed mechanistic insights. This work examines the adsorption of TiCl4 on Cl-, H-, and HO- terminated Si(100) and Si(111) surfaces to elucidate the general role of different surface structures and defect types in manipulating surface reactivity of growth and non-growth substrates. The surface sites and their role in the initial stages of deposition are examd. by XPS and at. force microscopy (AFM). D. functional theory (DFT) computations of the local functionalized silicon surfaces suggest oxygen-contg. defects are primary drivers of selectivity loss on these surfaces.
- 52Bell, J. P.; Cloud, J. E.; Cheng, J. F.; Ngo, C.; Kodambaka, S.; Sellinger, A.; Williams, S. K. R.; Yang, Y. A. N-Bromosuccinimide-based bromination and subsequent functionalization of hydrogen-terminated silicon quantum dots. RSC Adv. 2014, 4 (93), 51105– 51110, DOI: 10.1039/C4RA08477BGoogle Scholar52https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhs1yhu77I&md5=72b0155cb9e376dd3d3b8af1d6e6758bN-Bromosuccinimide-based bromination and subsequent functionalization of hydrogen-terminated silicon quantum dotsBell, Jacob P.; Cloud, Jacqueline E.; Cheng, Jifang; Ngo, Chilan; Kodambaka, Suneel; Sellinger, Alan; Ratanathanawongs Williams, S. Kim; Yang, YonganRSC Advances (2014), 4 (93), 51105-51110CODEN: RSCACL; ISSN:2046-2069. (Royal Society of Chemistry)We report a mild, effective, room-temp. method for brominating and functionalizing colloidal hydrogen-terminated silicon quantum dots (H-SiQDs) using N-bromosuccinimide (NBS) as the bromination reagent. This post-synthesis bromination overcomes a long-standing challenge of producing emissive SiQDs through the functionalization of directly synthesized halogen-terminated colloidal SiQDs.
- 53Baldwin, R. K.; Pettigrew, K. A.; Ratai, E.; Augustine, M. P.; Kauzlarich, S. M. Solution reduction synthesis of surface stabilized silicon nanoparticles. Chem. Commun. 2002, (17), 1822– 1823, DOI: 10.1039/b205301bGoogle Scholar53https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD38XmsVOnsb4%253D&md5=aa6481348b400dd01245c131bdbaf995Solution reduction synthesis of surface stabilized silicon nanoparticlesBaldwin, Richard K.; Pettigrew, Katherine A.; Ratai, Eva; Augustine, Matthew P.; Kauzlarich, Susan M.Chemical Communications (Cambridge, United Kingdom) (2002), (17), 1822-1823CODEN: CHCOFS; ISSN:1359-7345. (Royal Society of Chemistry)This paper describes the prepn. of air and moisture stable octanol derivatized cryst. silicon nanoparticles by room temp. sodium naphthalenide redn. of silicon halides.
- 54Zou, J.; Baldwin, R. K.; Pettigrew, K. A.; Kauzlarich, S. M. Solution synthesis of ultrastable luminescent siloxane-coated silicon nanoparticles. Nano Lett. 2004, 4 (7), 1181– 1186, DOI: 10.1021/nl0497373Google Scholar54https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2cXksV2rtrw%253D&md5=0cbb357fb1d88558e4ddcc351ea2dd5aSolution Synthesis of Ultrastable Luminescent Siloxane-Coated Silicon NanoparticlesZou, Jing; Baldwin, Richard K.; Pettigrew, Katherine A.; Kauzlarich, Susan M.Nano Letters (2004), 4 (7), 1181-1186CODEN: NALEFD; ISSN:1530-6984. (American Chemical Society)Silicon nanoparticles (NPs) of ∼4.5(1.10) nm from a room-temp. soln. route are terminated by a silanization method for the first time. Energy-selected emission is obsd., consistent with the distribution of sizes obtained by this route. The NPs are photochem. stable in nonpolar org. solvents and when exposed to air/water under ambient conditions for up to 1 yr. The nanoparticles were characterized by TEM, HRTEM, EDX, SAED, FTIR, 1H/13C NMR, UV-vis, and photoluminescence (PL) spectroscopy.
- 55Rogozhina, E. V.; Eckhoff, D. A.; Gratton, E.; Braun, P. V. Carboxyl functionalization of ultrasmall luminescent silicon nanoparticles through thermal hydrosilylation. J. Mater. Chem. 2006, 16 (15), 1421– 1430, DOI: 10.1039/b509868hGoogle Scholar55https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28Xkt1Gmsb8%253D&md5=2e6853f9cc42fc5ec9b6fa8bacf7c2dbCarboxyl functionalization of ultrasmall luminescent silicon nanoparticles through thermal hydrosilylationRogozhina, Elena V.; Eckhoff, Dean A.; Gratton, Enrico; Braun, Paul V.Journal of Materials Chemistry (2006), 16 (15), 1421-1430CODEN: JMACEP; ISSN:0959-9428. (Royal Society of Chemistry)Here, we report one of the first examples of thermal hydrosilylation between a bi-functional alkene and ultrasmall (∼1 nm) H-passivated silicon nanoparticles (Si-np-H) to prep. strongly luminescent, water stable, carboxyl functionalized nanoparticles (Si-np-COOH). NMR, IR absorption spectroscopy (FTIR), size exclusion chromatog. (SEC), and photoluminescence spectroscopy are used to characterize the Si-np dispersions. Based on the SEC and FTIR data, a reaction scheme is proposed to account for side products formed through a free radical crosslinking mechanism. The Si-np-COOH may find use in applications such as biomol. labeling and biol. imaging.
- 56Dasog, M.; Veinot, J. G. C. Size independent blue luminescence in nitrogen passivated silicon nanocrystals. Phys. Status Solidi A 2012, 209 (10), 1844– 1846, DOI: 10.1002/pssa.201200273Google Scholar56https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38Xht12jsrfO&md5=67af3fdd3e6bf6ddd9c2934c1951936eSize independent blue luminescence in nitrogen passivated silicon nanocrystalsDasog, Mita; Veinot, Jonathan G. C.Physica Status Solidi A: Applications and Materials Science (2012), 209 (10), 1844-1846CODEN: PSSABA; ISSN:1862-6300. (Wiley-VCH Verlag GmbH & Co. KGaA)The photoluminescent properties of allylamine terminated silicon nanocrystals (Si-NCs) are investigated. Chloride surface terminated Si-NCs of different sizes react with allylamine, yielding NCs that exhibit size independent blue luminescent NCs. NCs were characterized using X-ray diffraction, Fourier transformed IR spectroscopy, photoluminescence spectroscopy, and dynamic light scattering.
- 57Lee, J. C.; Bae, Y. H.; Chang, S. K. Efficient α-halogenation of carbonyl compounds by N-bromosuccinimide and N-chlorosuccinimde. Bull. Korean Chem. Soc. 2003, 24 (4), 407– 408, DOI: 10.5012/bkcs.2003.24.4.407Google Scholar57https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXkt1Oht7o%253D&md5=663c05181a74f5f0ae53fb725abf548fEfficient α-halogenation of carbonyl compounds by N-bromosuccinimide and N-chlorosuccinimideLee, Jong Chan; Bae, Yong Hun; Chang, Suk-KyuBulletin of the Korean Chemical Society (2003), 24 (4), 407-408CODEN: BKCSDE; ISSN:0253-2964. (Korean Chemical Society)Arom. ketones and 1,3-dicarbonyl compds. are efficiently α-halogenated by systems contg. N-bromosuccinimide or N-chlorosuccinimide, p-toluenesulfonic acid, and MeCN. The protocol is operationally simple and requires only readily available starting materials.
- 58Bergstrom, M.; Suresh, G.; Naidu, V. R.; Unelius, C. R. Iodosuccinimide (NIS) in Direct Aromatic Iodination. Eur. J. Org. Chem. 2017, 2017 (22), 3234– 3239, DOI: 10.1002/ejoc.201700173Google ScholarThere is no corresponding record for this reference.
- 59Zhao, S.; Liu, W. K. Methods for Brominations at Benzylic Positions. Curr. Org. Chem. 2019, 22 (25), 2444– 2459, DOI: 10.2174/1385272822666181031094702Google ScholarThere is no corresponding record for this reference.
- 60Bera, S. K.; Mal, P. Mechanochemical-Cascaded C-N Cross-Coupling and Halogenation Using N-Bromo- and N-Chlorosuccinimide as Bifunctional Reagents. J. Org. Chem. 2021, 86 (20), 14144– 14159, DOI: 10.1021/acs.joc.1c01742Google Scholar60https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhvVGnu7bL&md5=d345557026ab3ea7824d9e4f54032592Mechanochemical-Cascaded C-N Cross-Coupling and Halogenation Using N-Bromo- and N-Chlorosuccinimide as Bifunctional ReagentsBera, Shyamal Kanti; Mal, PrasenjitJournal of Organic Chemistry (2021), 86 (20), 14144-14159CODEN: JOCEAH; ISSN:0022-3263. (American Chemical Society)Direct synthesis of halo-substituted phenanthridinone derivs. I [R = Cl, Br, I; R1 = H, 3-Me, 3-F, etc.; R2 = H, 8-Me; R3 = OMe, Ph] was achieved via cascade C-N bond formation and subsequent halogenation reactions of N-halosuccinimides with N-methoxy-[1,1'-biphenyl]-2-carboxamides. During the reactions, NBS/NCS first assisted the oxidative C-N coupling reaction and subsequently promoted a halogenation reaction. Thus, the role of NBS/NCS was established to be bifunctional. This protocol offered a mild, solvent-free, convenient, one-pot synthesis of compds. I.
- 61O’Leary, L. E.; Rose, M. J.; Ding, T. X.; Johansson, E.; Brunschwig, B. S.; Lewis, N. S. Heck Coupling of Olefins to Mixed Methyl/Thienyl Monolayers on Si(111) Surfaces. J. Am. Chem. Soc. 2013, 135 (27), 10081– 10090, DOI: 10.1021/ja402495eGoogle Scholar61https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXhtVWhu7%252FP&md5=9935ca189b09cf1ade7ac243c9cfb891Heck Coupling of Olefins to Mixed Methyl/Thienyl Monolayers on Si(111) SurfacesO'Leary, Leslie E.; Rose, Michael J.; Ding, Tina X.; Johansson, Erik; Brunschwig, Bruce S.; Lewis, Nathan S.Journal of the American Chemical Society (2013), 135 (27), 10081-10090CODEN: JACSAT; ISSN:0002-7863. (American Chemical Society)The Heck reaction has been used to couple olefins to a Si(111) surface that was functionalized with a mixed monolayer comprised of Me and thienyl groups. The coupling method maintained a conjugated linkage between the surface and the olefinic surface functionality, to allow for facile charge transfer from the silicon surface. While a Si(111) surface terminated only with thienyl groups displayed a surface recombination velocity, S, of 670 ± 190 cm s-1, the mixed CH3/SC4H3-Si(111) surfaces with a coverage of θSC4H3 = 0.15 ± 0.02 displayed a substantially lower value of S = 27 ± 9 cm s-1. Accordingly, CH3/SC4H3-Si(111) surfaces were brominated with N-bromosuccinimide, to produce mixed CH3/SC4H2Br-Si(111) surfaces with coverages of θBr-Si < 0.05. The resulting aryl halide surfaces were activated using [Pd(PPh3)4] as a catalyst. After activation, Pd(II) was selectively coordinated by oxidative addn. to the surface-bound aryl halide. The olefinic substrates 4-fluorostyrene, vinylferrocene, and protoporphyrin IX di-Me ester were then coupled (in DMF at 100°C) to the Pd-contg. functionalized Si surfaces. The porphyrin-modified surface was then metalated with Co, Cu, or Zn. The vinylferrocene-modified Si(111) surface showed a linear dependence of the peak current on scan rate in cyclic voltammetry, indicating that facile electron transfer had been maintained and providing evidence of a robust linkage between the Si surface and the tethered ferrocene. The final Heck-coupled surface exhibited S = 70 cm s-1, indicating that high-quality surfaces could be produced by this multistep synthetic approach for tethering small mols. to silicon photoelectrodes.
- 62Lauerhaas, J. M.; Sailor, M. J. Chemical Modification of the Photoluminescence Quenching of Porous Silicon. Science 1993, 261 (5128), 1567– 1568, DOI: 10.1126/science.261.5128.1567Google Scholar62https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3sXmsF2hurg%253D&md5=be301c8ed3d2b0b4ae2b2d32d1bb5d23Chemical modification of the photoluminescence quenching of porous siliconLauerhaas, Jeffrey M.; Sailor, Michael J.Science (Washington, DC, United States) (1993), 261 (5128), 1567-8CODEN: SCIEAS; ISSN:0036-8075.The photoluminescence of porous silicon can be quenched by adsorbates, and the degree of quenching can be tuned by chem. derivatization of the porous silicon surface. Thus, as-prepd. porous silicon has a hydrophobic, hydrogen-terminated surface, and the photoluminescence is strongly quenched by ethanol and weakly quenched by water. Mild chem. oxidn. (iodine followed by hydrolysis) produces a hydrophilic porous silicon surface. Photoluminescence from this hydrophilic material is quenched to a lesser extent by ethanol and to a greater extent by water, relative to the original surface. This demonstrates that the visible luminescence from porous silicon is highly surface-sensitive, and the surface interactions can be tuned by specific chem. transformations.
- 63Silva-Quinones, D.; He, C.; Dwyer, K. J.; Butera, R. E.; Wang, G. T.; Teplyakov, A. V. Reaction of Hydrazine with Solution- and Vacuum-Prepared Selectively Terminated Si(100) Surfaces: Pathways to the Formation of Direct Si-N Bonds. Langmuir 2020, 36 (43), 12866– 12876, DOI: 10.1021/acs.langmuir.0c02088Google Scholar63https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXitV2qtrnJ&md5=3b758b6043bee9997d467ea77a3f4d0eReaction of Hydrazine with Solution- and Vacuum-Prepared Selectively Terminated Si(100) Surfaces: Pathways to the Formation of Direct Si-N BondsSilva-Quinones, Dhamelyz; He, Chuan; Dwyer, Kevin J.; Butera, Robert E.; Wang, George T.; Teplyakov, Andrew V.Langmuir (2020), 36 (43), 12866-12876CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)The reactivity of liq. hydrazine (N2H4) with respect to H-, Cl-, and Br-terminated Si(100) surfaces was investigated to uncover the principles of nitrogen incorporation into the interface. This process has important implications in a wide variety of applications, including semiconductor surface passivation and functionalization, nitride growth, and many others. The use of hydrazine as a precursor allows for reactions that exclude carbon and oxygen, the primary sources of contamination in processing. In this work, the reactivity of N2H4 with H- and Cl-terminated surfaces prepd. by traditional solvent-based methods and with a Br-terminated Si(100) prepd. in ultrahigh vacuum was compared. The reactions were studied with XPS, at. force microscopy, and scanning tunneling microscopy, and the observations were supported by computational investigations. The H-terminated surface led to the highest level of nitrogen incorporation; however, the process proceeds with increasing surface roughness, suggesting possible etching or replacement reactions. In the case of Cl-terminated (predominantly dichloride) and Br-terminated (monobromide) surfaces, the amt. of nitrogen incorporation on both surfaces after the reaction with hydrazine was very similar despite the differences in prepn., initial structure, and chem. compn. D. functional theory was used to propose the possible surface structures and to analyze surface reactivity.
- 64Wagner, C. D.; V N, A.; Kraut-Vass, A.; Allison, J. W.; Powell, C. J.; R, J., Jr. NIST Standard Reference Database 20, Version 3.4.Google ScholarThere is no corresponding record for this reference.
- 65Haber, J. A.; Lewis, N. S. Infrared and X-ray photoelectron spectroscopic studies of the reactions of hydrogen-terminated crystalline Si(111) and Si(100) surfaces with Br-2, I-2, and ferrocenium in alcohol solvents. J. Phys. Chem. B 2002, 106 (14), 3639– 3656, DOI: 10.1021/jp0102872Google Scholar65https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD38XhvVGrsLY%253D&md5=247a8cd5084e896ad11c0158042d53a7Infrared and X-ray Photoelectron Spectroscopic Studies of the Reactions of Hydrogen-Terminated Crystalline Si(111) and Si(100) Surfaces with Br2, I2, and Ferrocenium in Alcohol SolventsHaber, Joel A.; Lewis, Nathan S.Journal of Physical Chemistry B (2002), 106 (14), 3639-3656CODEN: JPCBFK; ISSN:1089-5647. (American Chemical Society)The reaction chem. of H-terminated cryst. Si(111) and Si(100) surfaces in MeOH, CD3OD, CF3(CH2)3OH, BuOH, and C4D9OD solns. contg. ferrocenium (Fc+)-BF4, I2, or Br2 was monitored using x-ray photoelectron (XP) spectroscopy and IR spectroscopy. Addn. of the 1-electron oxidant Fc+, or addn. of the oxidizing species I2 or Br2, produced diagnostic changes in the IR spectra that clearly indicated formation of surficial Si-OR groups. XPS data confirmed the conclusions of the IR studies. Under the authors' reaction conditions, no detectable reaction occurred without the presence of the oxidant. The data are consistent with oxidative activation of the surficial Si-H bonds toward nucleophilic attack by the alcs. The reaction chem. was generally similar on (111)- and (100)-oriented Si surfaces, although some differences were obsd. in the ratio of reaction products on the two different surface orientations. Alkoxylated surfaces were also prepd. by a two-step process in which the surface was 1st chlorinated and then reacted with LiOCH3, LiOCD3, or LiO(CH2)3CF3. The data indicate that formation of Si-halogen bonding alone is not sufficient to provide a robust correlation between the electronic and chem. properties of such cryst. Si surfaces and that formation of Si-alkoxyl bonds is a common motif for surfaces often used in electronic and electrochem. studies of Si.
- 66Hinckley, A. P.; Driskill, M. M.; Muscat, A. J. Dense Organosilane Monolayer Resist That Directs Highly Selective Atomic Layer Deposition. ACS Appl. Nano Mater. 2020, 3 (4), 3185– 3194, DOI: 10.1021/acsanm.9b02128Google Scholar66https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXksl2rtA%253D%253D&md5=38b95cd372f6e02aad7af93db2497968Dense Organosilane Monolayer Resist That Directs Highly Selective Atomic Layer DepositionHinckley, Adam P.; Driskill, Madison M.; Muscat, Anthony J.ACS Applied Nano Materials (2020), 3 (4), 3185-3194CODEN: AANMF6; ISSN:2574-0970. (American Chemical Society)Organosilane monolayers are part of many process flows in nanoelectronics and biotechnol. because of their versatility. Monolayers that inhibit reactions on silicon/silicon oxide surfaces are needed to create patterns that direct the deposition of mols. and realize some of these applications. Organosilane monolayers on silicon oxide are typically deposited from the liq. phase by repeated deposition and cleaning cycles. Cleaning consists of solvent extn., which removes weakly bound aggregates that physisorb in or on the layer during deposition. Adding a short immersion in an aq. oxidizing base such as Std. Clean 1 (SC-1), which is a particle removal method in semiconductor manufg., reduced the time from 48 to 2 h to deposit an inhibiting monolayer. The SC-1 not only removed agglomerates but also rehydroxylated the siloxane bridges at the interface between the monolayer and the silicon oxide surface based on XPS measurements of the hydroxyl group concn. A line and space pattern in the organosilane monolayer made by conductive at. force microscopy (C-AFM) was used to direct the precursors titanium tetrachloride (TiCl4) and water vapor to deposit titanium dioxide (TiO2) by at. layer deposition (ALD) with a selectivity greater than 0.999. The titanium dioxide lines were about 170 nm wide, 9 nm high, and 20μm long. The monolayer deposition procedure was done in a conventional lab. using the common deposition solvent toluene and could be used to make versatile structures for nanodevice fabrication.
- 67Ott, J.; Pasanen, T. P.; Gadda, A.; Garin, M.; Rosta, K.; Vahanissi, V.; Savin, H. Impact of doping and silicon substrate resistivity on the blistering of atomic-layer-deposited aluminium oxide. Appl. Surf. Sci. 2020, 522, 146400 DOI: 10.1016/j.apsusc.2020.146400Google Scholar67https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXos1SrsLw%253D&md5=08d6e8cd466b96b78c50fa69681eac73Impact of doping and silicon substrate resistivity on the blistering of atomic-layer-deposited aluminum oxideOtt, Jennifer; Pasanen, Toni P.; Gadda, Akiko; Garin, Moises; Rosta, Kawa; Vahanissi, Ville; Savin, HeleApplied Surface Science (2020), 522 (), 146400CODEN: ASUSEE; ISSN:0169-4332. (Elsevier B.V.)Aluminum oxide (Al2O3) thin films grown at low temps. using at. layer deposition (ALD) are known to often suffer from local delamination sites, referred to as "blisters", after post-deposition annealing during device processing. In this work, the authors report their observation that doping of the silicon substrate has an effect on blister formation. The introduction of a highly doped layer by diffusion or implantation is found to significantly reduce blistering, compared to the non-doped regions in the immediate vicinity. Similar behavior is obsd. for both phosphorus and boron doping. Further investigation of this phenomenon using substrates with different resistivities reveals that even when introduced already during silicon crystal growth, doping affects the blistering of aluminum oxide films. Changes in several properties of silicon affected by doping, most importantly surface terminating groups, native oxide growth, and passivation of defects with hydrogen, are discussed as potential reasons behind the obsd. effect on blistering.
- 68Hashemi, F. S. M.; Bent, S. F. Sequential Regeneration of Self-Assembled Monolayers for Highly Selective Atomic Layer Deposition. Adv. Mater. Interfaces 2016, 3 (21), 1600464 DOI: 10.1002/admi.201600464Google ScholarThere is no corresponding record for this reference.
- 69Mameli, A.; Merkx, M. J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. M. M.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano 2017, 11 (9), 9303– 9311, DOI: 10.1021/acsnano.7b04701Google Scholar69https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVWhtrrM&md5=76c5f8a015a87d3aa07092d2c7188f97Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycleMameli, Alfredo; Merkx, Marc J. M.; Karasulu, Bora; Roozeboom, Fred; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.ACS Nano (2017), 11 (9), 9303-9311CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Area-selective at. layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor mols. in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform IR spectroscopy expts. and d. functional theory calcns. underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor mols.
- 70Hinckley, A. P.; Muscat, A. J. Detecting and Removing Defects in Organosilane Self-Assembled Monolayers. Langmuir 2020, 36 (10), 2563– 2573, DOI: 10.1021/acs.langmuir.9b02753Google Scholar70https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXjvVGnsr4%253D&md5=5898d209bf65dcf84e4a5ee02d2cfd17Detecting and Removing Defects in Organosilane Self-Assembled MonolayersHinckley, Adam P.; Muscat, Anthony J.Langmuir (2020), 36 (10), 2563-2573CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)Defects occur as self-assembled monolayers form, and the no. and type of defects depend on the surface prepn. and deposition solvent, among other parameters. Indirect measures to detect defects using a layer property, such as the thickness or bond vibrational frequency, are used routinely for process development but often lack sensitivity. Direct measures using an at. probe offer a glimpse of defect structures but over a small fraction of the layer. Direct detection after reacting defects by etching or deposition is more common, and this approach has advanced our understanding of how monolayers form and has led to improved monolayers for a variety of applications. Here we show that a series of TiCl4 gas pulses reacts with defects in organosilane layers on SiO2 depositing TiO, which was measured by XPS. The defects were silanol groups and siloxane bridge bonds at the interface between the layer and the SiO2 surface and on agglomerates physisorbed to the layer. As the TiO satn. coverage or the total no. of defects decreased, the incubation period in which no TiO was detected became longer. Cleaning the layer by solvent extn. to remove nonpolar agglomerates followed by an aq. mixt. of ammonium hydroxide and hydrogen peroxide, which is Std. Clean 1, a common particle removal step for silicon surfaces, produced an organosilane monolayer without agglomerates based on at. force microscopy. After a second organosilane immersion, the monolayer d. rose to 3.8 mols./nm2. This monolayer inhibited the deposition of TiO on the SiO2 surface for 250 pulses of TiCl4 and 200 complete TiO2 at. layer deposition cycles using TiCl4 and water vapor, and it failed at 300 complete cycles. The Std. Clean 1 soln. not only removed defects left by solvent extn. but also led to the reorganization of the organosilane layer.
- 71Ulman, A. Formation and structure of self-assembled monolayers. Chem. Rev. 1996, 96 (4), 1533– 1554, DOI: 10.1021/cr9502357Google Scholar71https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK28Xjt1Kntr4%253D&md5=a129aad80377c7babb9e8dd6cc9427b2Formation and Structure of Self-Assembled MonolayersUlman, AbrahamChemical Reviews (Washington, D. C.) (1996), 96 (4), 1533-1554CODEN: CHREAY; ISSN:0009-2665. (American Chemical Society)A review on the organization of complex, semiflexible org. mols. within quasi-2-D assemblies due to the delicate interplay between substrate-adsorbate interactions, nonbonded interactions between adsorbates, electrostatic and VDW forces, and intramol. interactions (e.g., bond stretches, angle bends, and torsions). Surface reorganization contributes to the final equil. structure of the assembly. Structural factors controlling the formation of self-assembled monolayers (SAMs) are discussed. Different SAMs with unique properties and potential applications are considered. An attempt is made to provide a general picture of self-assembly on solid surfaces as it emerges from a consideration of the interplay of different forces that control this process. 273 Refs.
- 72Hutchins, D. O.; Acton, O.; Weidner, T.; Cernetic, N.; Baio, J. E.; Ting, G.; Castner, D. G.; Ma, H.; Jen, A. K. Y. Spin cast self-assembled monolayer field effect transistors. Org. Electron. 2012, 13 (3), 464– 468, DOI: 10.1016/j.orgel.2011.11.025Google Scholar72https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XitFClt7Y%253D&md5=90fe25a69ce975f9039db5fc65e05073Spin cast self-assembled monolayer field effect transistorsHutchins, Daniel O.; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E.; Ting, Guy; Castner, David G.; Ma, Hong; Jen, Alex K.-Y.Organic Electronics (2012), 13 (3), 464-468CODEN: OERLAU; ISSN:1566-1199. (Elsevier B.V.)Top-contact self-assembled monolayer field-effect transistors (SAMFETs) were fabricated through both spin-coating and soln. assembly of a semiconducting phosphonic acid-based mol. (11-(5''''-butyl-[2,2';5',2'';5'',2''';5''',2'''']quinquethiophen-5-yl)undecylphosphonic acid) (BQT-PA). The field-effect mobilities of both spin-cast and soln. assembled SAMFETs were 1.1-8.0 × 10-6 cm2 V-1 s-1 for a wide range of channel lengths (between 12-80 μm). The mol. monolayers were characterized by AFM, attenuated total reflectance-Fourier transform IR spectroscopy (ATR-FTIR), and NEXAFS spectroscopy. The BQT-PA monolayer films exhibit dense surface coverage, bidentate binding, and tilt angles of ∼32° and ∼44° for the thiophene rings and alkyl chain, resp. These results indicate that rapid throughput of fabricating SAMFETs is possible even by spin-coating.
- 73Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9 (9), 8651– 8654, DOI: 10.1021/acsnano.5b05249Google Scholar73https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhsVKlsL3P&md5=a72e3e4f8cc06ec52628c4bbfefd5866Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart PositioningFang, Ming; Ho, Johnny C.ACS Nano (2015), 9 (9), 8651-8654CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. Transistors have already been made three-dimensional (3D), with device channels (i.e., fins in trigate field-effect transistor (FinFET) technol.) that are taller, thinner, and closer together to enhance device performance and lower active power consumption. As device scaling continues, these transistors will require more advanced, fabrication-enabling technologies for the conformal deposition of high-κ dielec. layers on their 3-dimensional channels with accurate position alignment and thickness control down to the subnanometer scale. Among many competing techniques, area-selective at. layer deposition (AS-ALD) is a promising method that is well suited to the requirements without the use of complicated, complementary metal-oxide semiconductor (CMOS)-incompatible processes. However, further progress is limited by poor area selectivity for thicker films formed via a higher no. of ALD cycles as well as the prolonged processing time. In this issue of ACS Nano, Professor Stacy Bent and her research group demonstrate a straightforward self-correcting ALD approach, combining selective deposition with a postprocess mild chem. etching, which enables selective deposition of dielec. films with thicknesses and processing times at least 10 times larger and 48 times shorter, resp., than those obtained by conventional AS-ALD processes. These advances present an important technol. breakthrough that may drive the AS-ALD technique a step closer toward industrial applications in electronics, catalysis, and photonics, etc. where more efficient device fabrication processes are needed.
- 74Longo, R. C.; McDonnell, S.; Dick, D.; Wallace, R. M.; Chabal, Y. J.; Owen, J. H. G.; Ballard, J. B.; Randall, J. N.; Cho, K. Selectivity of metal oxide atomic layer deposition on hydrogen terminated and oxidized Si(001)-(2 × 1) surface. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2014, 32 (3), 03D112 DOI: 10.1116/1.4864619Google ScholarThere is no corresponding record for this reference.
- 75Montaño-Miranda, G.; Muscat, A. Etching of silicon dioxide with gas phase HF and water:: Initiation, bulk etching, and termination. Solid State Phenom. 2008, 134, 3– 6, DOI: 10.4028/www.scientific.net/ssp.134.3Google Scholar75https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1cXhtlWgt7s%253D&md5=7042e2017c6982f16beece216c25727aEtching of silicon dioxide with gas phase HF and water: initiation, bulk etching, and terminationMontano-Miranda, Gerardo; Muscat, AnthonyDiffusion and Defect Data--Solid State Data, Pt. B: Solid State Phenomena (2008), 134 (Ultra Clean Processing of Semiconductor Surfaces VIII), 3-6CODEN: DDBPE8; ISSN:1012-0394. (Trans Tech Publications Ltd.)The SiO2 etching process was studied to explain the initiation mechanism and reaction kinetics of the SiO2 etching process and the surface termination on the resulting Si surface. The data showed that the induction time can be defined as the time required for a sufficient no. of HF/water clusters to adsorb on the SiO2 surface and produce the first SiF4 mols. Also, it was revealed that the etching rates are almost two orders of magnitude lower than those achieved with a detectable water layer. Furthermore, a gas/solid reaction without a liq. water layer may be advantageous for etching metal oxide films on high mobility semiconductor surfaces with narrow band gaps, which are prone to oxidn. in the presence of water.
- 76Garín, M.; Khoury, R.; Martin, I.; Johnson, E. V. Direct etching at the nanoscale through nanoparticle-directed capillary condensation. Nanoscale 2020, 12 (16), 9240– 9245, DOI: 10.1039/C9NR10217EGoogle Scholar76https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXls1Gnur8%253D&md5=ded0d70f2ded597476356e7492f490b5Direct etching at the nanoscale through nanoparticle-directed capillary condensationGarin, M.; Khoury, R.; Martin, I.; Johnson, E. V.Nanoscale (2020), 12 (16), 9240-9245CODEN: NANOHL; ISSN:2040-3372. (Royal Society of Chemistry)We report a method to locally deliver a chem. etchant at the nanoscale in the vapor phase by capillary condensation forming a meniscus at the nanoparticle/substrate interface. The process is simple, scalable and does not require functionalization of the nanoparticles. Furthermore, it does not rely on any specific chem. properties of the materials other than the soln. being aq. and the wettability properties of the surfaces involved, which should enable its application to other material and chem. combinations. In particular, in this work we demonstrate the proposed process by periodically pattering a SiO2 layer using a self-assembled monolayer of polystyrene particles exposed to HF vapors. The patterned SiO2 layer is then used as a mask to etch a pattern of inverted nanopyramids on Si. The silicon nanopatterning has been demonstrated for particles sizes ranging from 800 nm down to 100 nm, providing pyramids with a size down to 50 nm for 100 nm nanoparticles.
- 77Salimian, S.; Delfino, M. Removal of Native Silicon-Oxide with Low-Energy Argon Ions. J. Appl. Phys. 1991, 70 (7), 3970– 3972, DOI: 10.1063/1.349160Google Scholar77https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3MXmsVGmu70%253D&md5=73d8b80223c075ae8451b85a200fa633Removal of native silicon oxide with low-energy argon ionsSalimian, S.; Delfino, M.Journal of Applied Physics (1991), 70 (7), 3970-2CODEN: JAPIAU; ISSN:0021-8979.Electron cyclotron resonance argon plasmas have been used to clean native silicon oxide at low (≈100 eV) ion energies. There is complete and rapid removal of chem. bound O to Si and the presence of small levels of adsorbed or interstitially implanted O.
- 78Metzler, D.; Li, C.; Lai, C. S.; Hudson, E. A.; Oehrlein, G. S. Investigation of thin oxide layer removal from Si substrates using an SiO atomic layer etching approach: the importance of the reactivity of the substrate. J. Phys. D: Appl. Phys. 2017, 50 (25), 254006 DOI: 10.1088/1361-6463/aa71f1Google Scholar78https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhvFCgurvK&md5=db889895811a25dae801cf9d073dddf4Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrateMetzler, Dominik; Li, Chen; Lai, C. Steven; Hudson, Eric A.; Oehrlein, Gottlieb S.Journal of Physics D: Applied Physics (2017), 50 (25), 254006/1-254006/9CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)The evaluation of a plasma-based at. layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chem. was analyzed by XPS. The cyclic ALE approach when used for removal of native oxide SiO2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidn. of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H2/Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. A generic insight of this work is the importance of the substrate and final surface chem. in addn. to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO2 layer.
- 79Hennessy, J.; Moore, C. S.; Balasubramanian, K.; Jewell, A. D.; France, K.; Nikzad, S. Enhanced atomic layer etching of native aluminum oxide for ultraviolet optical applications. J. Vac. Sci. Technol., A 2017, 35 (4), 041512 DOI: 10.1116/1.4986945Google Scholar79https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhtVeqsrrI&md5=1b9a466baa048fc220e4513f88420507Enhanced atomic layer etching of native aluminum oxide for ultraviolet optical applicationsHennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; France, Kevin; Nikzad, ShoulehJournal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2017), 35 (4), 041512/1-041512/9CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)The authors report on the development and application of an at. layer etching (ALE) procedure based on alternating exposures of trimethylaluminum and anhyd. hydrogen fluoride (HF) implemented to controllably etch aluminum oxide. The ALE process utilizes the same chem. previously demonstrated in the at. layer deposition of aluminum fluoride thin films, and can therefore be exploited to remove the surface oxide from metallic aluminum and replace it with thin fluoride layers in order to improve the performance of UV aluminum mirrors. This ALE process is modified relative to existing methods through the use of a chamber conditioning film of lithium fluoride, which is shown to enhance the loss of fluorine surface species and results in conformal layer-by-layer etching of aluminum oxide films. Etch properties were explored over a temp. range of 225-300 °C with the Al2O3 etch rate increasing from 0.8 to 1.2 Å per ALE cycle at a fixed HF exposure of 60 ms per cycle. The effective etch rate has a dependence on the total HF exposure, but the process is shown to be scalable to large area substrates with a postetch uniformity of better than 2% demonstrated on 125 mm diam. wafers. The efficacy of the ALE process in reducing interfacial native aluminum oxide on evapd. aluminum mirrors is demonstrated using characterization by XPS and measurements of UV reflectance at wavelengths down to 120 nm. (c) 2017 American Institute of Physics.
- 80Briggs, D.; Wootton, A. B. Analysis of Polymer Surfaces by Sims. 1. An Investigation of Practical Problems. Surf. Interface Anal. 1982, 4 (3), 109– 115, DOI: 10.1002/sia.740040306Google Scholar80https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaL3sXitFKnsb0%253D&md5=a9092a4ec77396cf138a10bf2cb96c93Analysis of polymer surfaces by SIMS. 1. An investigation of practical problemsBriggs, D.; Wootton, A. B.Surface and Interface Analysis (1982), 4 (3), 109-15CODEN: SIANDQ; ISSN:0142-2421.XPS was used simultaneously with secondary ion mass spectroscopy (SIMS) in an attempt to sep. the effects of surface drift potential and ion beam damage on relative peak intensity changes with time in surface anal. of polymers. SIMS studies of polystyrene [9003-53-6], polytetrafluoroethylene [9002-84-0] and paraffin wax (as a model compd.) were reported.
- 81Shircliff, R. A.; Stradins, P.; Moutinho, H.; Fennell, J.; Ghirardi, M. L.; Cowley, S. W.; Branz, H. M.; Martin, I. T. Angle-Resolved XPS Analysis and Characterization of Mono layer and Multi layer Si lane Films for DNA Coupling to Silica. Langmuir 2013, 29 (12), 4057– 4067, DOI: 10.1021/la304719yGoogle Scholar81https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXjt1Wls7k%253D&md5=ae1cc8012315809dd7e64b80bef168c8Angle-Resolved XPS Analysis and Characterization of Monolayer and Multilayer Silane Films for DNA Coupling to SilicaShircliff, Rebecca A.; Stradins, Paul; Moutinho, Helio; Fennell, John; Ghirardi, Maria L.; Cowley, Scott W.; Branz, Howard M.; Martin, Ina T.Langmuir (2013), 29 (12), 4057-4067CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)The authors measure silane d. and Sulfo-EMCS crosslinker coupling efficiency on aminosilane films by high-resoln. XPS and at. force microscopy (AFM) measurements. The authors then characterize DNA immobilization and hybridization on these films by 32P-radiometry. The silane film structure controls the efficiency of the subsequent steps toward DNA hybridization. A self-limited silane monolayer produced from 3-aminopropyldimethylethoxysilane (APDMES) provides a silane surface d. of ∼3 nm-2. Thin (1 h deposition) and thick (19 h deposition) multilayer films were generated from 3-aminopropyltriethoxysilane (APTES), resulting in surfaces with increased roughness compared to the APDMES monolayer. Increased silane surface d. is estd. for the 19 h APTES film, due to a ∼ 32% increase in surface area compared to the APDMES monolayer. High crosslinker coupling efficiencies were measured for all three silane films. DNA immobilization densities are similar for the APDMES monolayer and 1 h APTES. However, the DNA immobilization d. is double for the 19 h APTES, suggesting that increased surface area allows for a higher probe attachment. The APDMES monolayer has the lowest DNA target d. and hybridization efficiency. This is attributed to the steric hindrance as the random packing limit is approached for DNA double helixes (dsDNA, diam. ≥ 2 nm) on a plane. The heterogeneity and roughness of the APTES films reduce this steric hindrance and allow for tighter packing of DNA double helixes, resulting in higher hybridization densities and efficiencies. The low steric hindrance of the thin, one to two layer APTES film provides the highest hybridization efficiency of nearly 88%, with 0.21 dsDNA/nm2. The XPS data also reveal water on the crosslinker-treated surface that is implicated in device aging.
- 82Lockett, V.; Sedev, R.; Bassell, C.; Ralston, J. Angle-resolved X-ray photoelectron spectroscopy of the surface of imidazolium ionic liquids. Phys. Chem. Chem. Phys. 2008, 10 (9), 1330– 1335, DOI: 10.1039/b713584jGoogle Scholar82https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1cXitlWls7k%253D&md5=dc64df1dacef29328528122cd2ba6f5bAngle-resolved X-ray photoelectron spectroscopy of the surface of imidazolium ionic liquidsLockett, Vera; Sedev, Rossen; Bassell, Chris; Ralston, JohnPhysical Chemistry Chemical Physics (2008), 10 (9), 1330-1335CODEN: PPCPFQ; ISSN:1463-9076. (Royal Society of Chemistry)The surfaces of 3 imidazolium based ionic liqs. with a common anion were studied with angle-resolved XPS. The room temp. ionic liqs. (RTILs): 1-butyl-3-methylimidazolium (bmim), 1-hexyl-3-methylimidazolium (hmim), and 1-octyl-3-methylimidazolium (omim) tetrafluoroborates, were meticulously purified and dried under vacuum. Survey and high-resoln. spectra were obtained at different take-off angles (0-84°), thus increasing the surface sensitivity of the measurement. No impurities were detected and the survey spectra at normal emission (0°) confirmed the stoichiometric compn. of the liqs. However, the spectra at take-off angles of 60, 70, 80 and 84° indicated a higher amt. of C. High resoln. spectra of C1s, at these angles, showed an increased amt. of aliph. C when compared to the spectra at normal emission. The longer the side chain (R) of the imidazolium cation (Rmim), the larger was the amt. of aliph. C detected. Previous studies with other surface sensitive techniques have yielded contradictory conclusions about the surface orientation of the Rmim. The authors conclude unequivocally that the alkyl chain of the imidazolium ring of the studied RTILs is oriented away from the liq. Study demonstrates the ability of XPS to probe the structure, along with the compn., of the free liq. surface by comparing signals from different penetration depths.
- 83Laibinis, P. E.; Bain, C. D.; Whitesides, G. M. Attenuation of Photoelectrons in Monolayers of Normal-Alkanethiols Adsorbed on Copper, Silver, and Gold. J. Phys. Chem. A 1991, 95 (18), 7017– 7021, DOI: 10.1021/j100171a054Google Scholar83https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3MXlt1Crtb0%253D&md5=f974fcd1a3c39dffdf419e81b26c2bb7Attenuation of photoelectrons in monolayers of n-alkanethiols adsorbed on copper, silver, and goldLaibinis, Paul E.; Bain, Colin D.; Whitesides, George M.Journal of Physical Chemistry (1991), 95 (18), 7017-21CODEN: JPCHAX; ISSN:0022-3654.The attenuation length, λ, of photoelectrons with kinetic energies in the range 500-1500 eV in hydrocarbon films was measured with self-assembled monolayers (SAMs) of n-alkanethiols adsorbed on copper and silver surfaces. This work extends a previous study using SAMs on gold. The following values were detd. for λ: on Ag, 37 Å at 1390 eV, 36 Å at 1119 eV, 35 Å at 1113 eV, 30 Å at 914 eV, 29 Å at 883 eV, and 24 Å at 768 eV; on Cu, 40 Å at 1410 and 1364 eV, 28 Å at 918 eV, and ∼22 Å at 554 eV. Ests. of λ obtained by using SAMs as scattering layers are more consistent than those obtained by using thin org. films fabricated by other methods. The relationship between λ and the kinetic energy (KE) of photoelectrons, over the energy range studied by using SAMs, can be fit equally well by the expressions λ = 9.0 + 0.022KE or λ /idn kEp where p = 0.67 ± 0.11. Bethe's equation for electron scattering in matter also relates λ to KE.
- 84Li, X. Z.; Ma, W. C.; Shestopalov, A. A. Vapor-Phase Carbenylation of Hard and Soft Material Interfaces. Langmuir 2016, 32 (44), 11386– 11394, DOI: 10.1021/acs.langmuir.6b02471Google Scholar84https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhslSisbrF&md5=e9327f968a99837e6d47e932dbeeb4a8Vapor-Phase Carbenylation of Hard and Soft Material InterfacesLi, Xunzhi; Ma, Wenchuan; Shestopalov, Alexander A.Langmuir (2016), 32 (44), 11386-11394CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)This study describes the formation of functional org. monolayers on hard and soft interfaces via a vapor-phase carbene insertion into Si-H and C-H bonds. We demonstrate that functional diazirine mols. can be used to form monomol. coatings on silicon, silicon nitride, and urethane-acrylate polymers under mild vacuum conditions and exposure to UV light. We investigate the mol. coverage and the long-term stability of the resulting monolayers in air, isopropanol, and water. Our results suggest that vapor-phase carbenylation can be used as a complementary technol. to the traditional self-assembly, permitting functionalization of various passivated substrates with stable and functional mol. coatings under mild and scalable conditions.
Cited By
This article is cited by 1 publications.
- Patrick R. Raffaelle, George T. Wang, Alexander A. Shestopalov. Light-Mediated Contact Printing of Phosphorus Species onto Silicon Using Carbene-Based Molecular Layers. Langmuir 2024, 40
(23)
, 12027-12034. https://doi.org/10.1021/acs.langmuir.4c00763
Article Views are the COUNTER-compliant sum of full text article downloads since November 2008 (both PDF and HTML) across all institutions and individuals. These metrics are regularly updated to reflect usage leading up to the last few days.
Citations are the number of other articles citing this article, calculated by Crossref and updated daily. Find more information about Crossref citation counts.
The Altmetric Attention Score is a quantitative measure of the attention that a research article has received online. Clicking on the donut icon will load a page at altmetric.com with additional details about the score and the social media presence for the given article. Find more information on the Altmetric Attention Score and how the score is calculated.
Recommended Articles
References
This article references 84 other publications.
- 1Raaijmakers, I. J. Current and Future Applications of ALD in Micro-electronics. ECS Trans. 2011, 41 (2), 3– 17, DOI: 10.1149/1.36336491https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XnvVGmtb0%253D&md5=4047cdbf63622977801b54f9530e5e7bCurrent and future applications of ALD in micro-electronicsRaaijmakers, Ivo J.ECS Transactions (2011), 41 (2, Atomic Layer Deposition Applications 7), 3-17CODEN: ECSTF8; ISSN:1938-5862. (Electrochemical Society)A review. This paper describes the status of current and future applications of Atomic Layer Deposition (ALD) and Plasma Enhanced ALD (PEALD) in the field of Micro-electronics. Substantial expansion of the ALD market is expected in the coming decade, both in IC manufg., but also in adjacent non-IC applications. Several techniques will be described that work around the relatively slow deposition rate of ALD.
- 2Levy, D. H.; Nelson, S. F. Thin-film electronics by atomic layer deposition. J. Vac. Sci. Technol., A 2012, 30 (1), 018501 DOI: 10.1116/1.36707482https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XjslKktQ%253D%253D&md5=a94d5178b07700b5d6edd13ea18a93c1Thin-film electronics by atomic layer depositionLevy, David H.; Nelson, Shelby F.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2012), 30 (1), 018501/1-018501/9CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)Atomic layer deposition (ALD) produces conformal films with low defects and a high degree of thickness control. Many applications leverage these properties to yield excellent dielecs. and barrier layers. In recent years, ALD has been exploited to produce thin-film transistors, in which the technique is capable of producing all of the layers required, including the semiconductor. This perspective will examine the state-of-the-art use of ALD to produce thin-film electronics, notably the zinc oxide-based thin-film transistor. It is crit. that the ZnO-based semiconductor material have sufficiently high resistivity in order to yield transistors with low off current and good switching characteristics. The nature of this problem and the approaches used to address it will be discussed. The use of rapid deposition technologies, such as spatial ALD, also has a strong impact on the quality of the ZnO semiconductor. Finally, demonstrations of various thin film electronics devices and systems produced by ALD will be reviewed. (c) 2012 American Institute of Physics.
- 3Khan, R.; Ali-Loytty, H.; Saari, J.; Valden, M.; Tukiainen, A.; Lahtonen, K.; Tkachenko, N. V. Optimization of Photogenerated Charge Carrier Lifetimes in ALD Grown TiO(2)for Photonic Applications. Nanomaterials 2020, 10 (8), 1567 DOI: 10.3390/nano100815673https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXhsl2gu7rM&md5=66acf686ff8f3b8c8aab0e2f95623a68Optimization of photogenerated charge carrier lifetimes in ALD grown TiO2 for photonic applicationsKhan, Ramsha; Ali-Loytty, Harri; Saari, Jesse; Valden, Mika; Tukiainen, Antti; Lahtonen, Kimmo; Tkachenko, Nikolai V.Nanomaterials (2020), 10 (8), 1567CODEN: NANOKO; ISSN:2079-4991. (MDPI AG)Titanium dioxide (TiO2) thin films are widely employed for photocatalytic and photovoltaic applications where the long lifetime of charge carriers is a paramount requirement for the device efficiency. To ensure the long lifetime, a high temp. treatment is used which restricts the applicability of TiO2in devices incorporating org. or polymer components. In this study, we exploited low temp. (100-150°C) at. layer deposition (ALD) of 30 nm TiO2thin films from tetrakis(dimethylamido)titanium. The deposition was followed by a heat treatment in air to find the min. temp. requirements for the film fabrication without compromising the carrier lifetime. Femto-to nanosecond transient absorption spectroscopy was used to det. the lifetimes, and grazing incidence X-ray diffraction was employed for structural anal. The optimal result was obtained for the TiO2 thin films grown at 150°C and heat-treated at as low as 300°C. The deposited thin films were amorphous and crystd. into anatase phase upon heat treatment at 300-500°C. The av. carrier lifetime for amorphous TiO2 is few picoseconds but increases to >400 ps upon crystn. at 500°C. The samples deposited at 100°C were also crystd. as anatase but the carrier lifetime was <100 ps.
- 4Knehr, E.; Ziegler, M.; Linzen, S.; Ilin, K.; Schanz, P.; Plentz, J.; Diegel, M.; Schmidt, H.; Il’ichev, E.; Siegel, M. Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices. J. Vac. Sci. Technol., A 2021, 39 (5), 052401 DOI: 10.1116/6.00011264https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhs1ejsbjP&md5=5a8b44ee30c62302b595ecb124da3ec3Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devicesKnehr, Emanuel; Ziegler, Mario; Linzen, Sven; Ilin, Konstantin; Schanz, Patrick; Plentz, Jonathan; Diegel, Marco; Schmidt, Heidemarie; Il'ichev, Evgeni; Siegel, MichaelJournal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2021), 39 (5), 052401CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)Superconducting niobium nitride thin films are used for a variety of photon detectors, quantum devices, and superconducting electronics. Most of these applications require highly uniform films, for instance, when moving from single-pixel detectors to arrays with a large active area. Plasma-enhanced at. layer deposition (ALD) of superconducting niobium nitride is a feasible option to produce high-quality, conformal thin films and has been demonstrated as a film deposition method to fabricate superconducting nanowire single-photon detectors before. Here, we explore the property spread of ALD-NbN across a 6-in. wafer area. Over the equiv. area of a 2-in. wafer, we measure a max. deviation of 1% in crit. temp. and 12% in switching current. Toward larger areas, structural characterizations indicate that changes in the crystal structure seem to be the limiting factor rather than film compn. or impurities. The results show that ALD is suited to fabricate NbN thin films as a material for large-area detector arrays and for new detector designs and devices requiring uniform superconducting thin films with precise thickness control. (c) 2021 American Institute of Physics.
- 5Zarubin, S.; Suvorova, E.; Spiridonov, M.; Negrov, D.; Chernikova, A.; Markeev, A.; Zenkevich, A. Fully ALD-grown TiN/Hf0.5Zr0.5O2/TiN stacks: Ferroelectric and structural properties (vol 109, 192903, 2016). Appl. Phys. Lett. 2016, 109 (25), 259901 DOI: 10.1063/1.49727875https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XitFCgurnE&md5=7af0d6e2acaeff7af97aad119ecaecc6Erratum: "Fully ALD-grown TiN/Hf0.5Zr0.5O2/TiN stacks: Ferroelectric and structural properties" [Appl. Phys. Lett. 109, 192903 (2016)] [Erratum to document cited in CA166:131366]Zarubin, Sergei; Suvorova, Elena; Spiridonov, Maksim; Negrov, Dmitrii; Chernikova, Anna; Markeev, Andrey; Zenkevich, AndreiApplied Physics Letters (2016), 109 (25), 259901/1CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)In the original publication, there is an error in the acknowledgments section; the correction is provided here. (c) 2016 American Institute of Physics.
- 6Parsons, G. N.; Clark, R. D. Area-Selective Deposition: Fundamentals, Applications, and Future Outlook. Chem. Mater. 2020, 32 (12), 4920– 4953, DOI: 10.1021/acs.chemmater.0c007226https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXpt1Oktbo%253D&md5=0131b4b89c52dc50c0e0faf640822bf1Area-Selective Deposition: Fundamentals, Applications, and Future OutlookParsons, Gregory N.; Clark, Robert D.Chemistry of Materials (2020), 32 (12), 4920-4953CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)A review. This review provides an overview of area-selective thin film deposition (ASD) with a primary focus on vapor-phase thin film formation via chem. vapor deposition (CVD) and at. layer deposition (ALD). Area-selective deposition has been successfully implemented in microelectronic processes, but most approaches to date rely on high-temp. reactions to achieve the desired substrate sensitivity. Continued size and performance scaling of microelectronics, as well as new materials, patterning methods, and device fabrication schemes are seeking solns. for new low-temp. ( < 400°C) ASD methods for dielecs., metals, and org. thin films. To provide an overview of the ASD field, this article critically reviews key challenges that must be overcome for ASD to be successful in microelectronics and other fields, including descriptions of current process application needs. We provide an overview of basic mechanisms in film nucleation during CVD and ALD and summarize current known ASD approaches for semiconductors, metals, dielecs., and org. materials. For a few key materials, selectivity is quant. compared for different reaction precursors, giving important insight into needs for favorable reactant and reaction design. We summarize current limitations of ASD and future opportunities that could be achieved using advanced bottom-up at. scale processes.
- 7George, S. M. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110 (1), 111– 131, DOI: 10.1021/cr900056b7https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXhsV2hurjJ&md5=80c55f3929d5b8f9b2b876c9e933f571Atomic Layer Deposition: An OverviewGeorge, Steven M.Chemical Reviews (Washington, DC, United States) (2010), 110 (1), 111-131CODEN: CHREAY; ISSN:0009-2665. (American Chemical Society)A review. A review on the at. layer deposition and its application to the fabrication of semiconductor device and nanodevices. The nucleation and growth mechanism during at. layer deposition are discussed.
- 8Mackus, A. J. M.; Merkx, M. J. M.; Kessels, W. M. M. From the Bottom-Up: Toward Area-Selective Atomic Layer Deposition with High Selectivity. Chem. Mater. 2019, 31 (1), 2– 12, DOI: 10.1021/acs.chemmater.8b034548https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXisFert7fO&md5=5536a3c4ee94633ea91c2b99009a1212From the bottom-up: Toward area-selective atomic layer deposition with high selectivityMackus, Adriaan J. M.; Merkx, Marc J. M.; Kessels, Wilhelmus M. M.Chemistry of Materials (2019), 31 (1), 2-12CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)A review. Bottom-up nanofabrication by area-selective at. layer deposition (ALD) is currently gaining momentum in semiconductor processing, because of the increasing need for eliminating the edge placement errors of top-down processing. Moreover, area-selective ALD offers new opportunities in many other areas such as the synthesis of catalysts with at.-level control. This Perspective provides an overview of the current developments in the field of area-selective ALD, discusses the challenge of achieving a high selectivity, and provides a vision for how area-selective ALD processes can be improved. A general cause for the loss of selectivity during deposition is that the character of surfaces on which no deposition should take place changes when it is exposed to the ALD chem. A soln. is to implement correction steps during ALD involving for example surface functionalization or selective etching. This leads to the development of advanced ALD cycles by combining conventional two-step ALD cycles with correction steps in multistep cycle and/or supercycle recipes.
- 9Lee, H.-B.-R.; Bent, S. Nanopatterning by Area-Selective Atomic Layer Deposition. At. Layer Deposition Nanostruct. Mater. 2011, 193– 225, DOI: 10.1002/9783527639915.ch9There is no corresponding record for this reference.
- 10Cho, T. H.; Farjam, N.; Allemang, C. R.; Pannier, C. P.; Kazyak, E.; Huber, C.; Rose, M.; Trejo, O.; Peterson, R. L.; Barton, K.; Dasgupta, N. P. Area-Selective Atomic Layer Deposition Patterned by Electrohydrodynamic Jet Printing for Additive Manufacturing of Functional Materials and Devices. ACS Nano 2020, 14 (12), 17262– 17272, DOI: 10.1021/acsnano.0c0729710https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXitlOgtLvN&md5=5257cafa1fff28831b3ea5e837493bf1Area-Selective Atomic Layer Deposition Patterned by Electrohydrodynamic Jet Printing for Additive Manufacturing of Functional Materials and DevicesCho, Tae H.; Farjam, Nazanin; Allemang, Christopher R.; Pannier, Christopher P.; Kazyak, Eric; Huber, Carli; Rose, Mattison; Trejo, Orlando; Peterson, Rebecca L.; Barton, Kira; Dasgupta, Neil P.ACS Nano (2020), 14 (12), 17262-17272CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)There is an increasing interest in additive nanomanufg. processes, which enable customizable patterning of functional materials and devices on a wide range of substrates. However, there are relatively few techniques with the ability to directly 3D print patterns of functional materials with sub-micron resoln. In this study, we demonstrate the use of additive electrohydrodynamic jet (e-jet) printing with an av. line width of 312 nm, which acts as an inhibitor for area-selective at. layer deposition (AS-ALD) of a range of metal oxides. We also demonstrate subtractive e-jet printing with solvent inks that dissolve polymer inhibitor layers in specific regions, which enables localized AS-ALD within those regions. The chem. selectivity and morphol. of e-jet patterned polymers towards binary and ternary oxides of ZnO, Al2O3, and SnO2 were quantified using XPS, at. force microscopy, and Auger electron spectroscopy. This approach enables patterning of functional oxide semiconductors, insulators, and transparent conducting oxides with tunable compn., Å-scale control of thickness, and sub-μm resoln. in the x-y plane. Using a combination of additive and subtractive e-jet printing with AS-ALD, a thin-film transistor was fabricated using zinc-tin-oxide for the semiconductor channel and aluminum-doped zinc oxide as the source and drain elec. contacts. In the future, this technique can be used to print integrated electronics with sub-micron resoln. on a variety of substrates.
- 11Deminskyi, P.; Haider, A.; Eren, H.; Khan, T. M.; Biyikli, N. Area-selective atomic layer deposition of noble metals: Polymerized fluorocarbon layers as effective growth inhibitors. J. Vac. Sci. Technol., A 2020, 39 (1), 022402 DOI: 10.1116/6.0000701There is no corresponding record for this reference.
- 12Krishtab, M.; Armini, S.; Meersschaut, J.; De Gendt, S.; Ameloot, R. Cyclic Plasma Halogenation of Amorphous Carbon for Defect-Free Area-Selective Atomic Layer Deposition of Titanium Oxide. ACS Appl. Mater. Interfaces 2021, 13 (27), 32381– 32392, DOI: 10.1021/acsami.1c0440512https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhtlKlu7vN&md5=d666e2f74b17b26dc51cf4e757f533bdCyclic plasma halogenation of amorphous carbon for defect-free area-selective atomic layer deposition of titanium oxideKrishtab, Mikhail; Armini, Silvia; Meersschaut, Johan; De Gendt, Stefan; Ameloot, RobACS Applied Materials & Interfaces (2021), 13 (27), 32381-32392CODEN: AAMICK; ISSN:1944-8244. (American Chemical Society)As crit. dimensions in integrated circuits continue to shrink, the lithog.-based alignment of adjacent patterned layers becomes more challenging. Area-selective at. layer deposition (ALD) allows circumventing the alignment issue by exploiting the chem. contrast of the exposed surfaces. In this work, we investigate the selective deposition of TiO2 by plasma halogenation of amorphous carbon (a-C:H) acting as a growth-inhibiting layer. On a-C:H, a CF4 or Cl2 plasma forms a thin halogenated layer that suppresses the growth of TiO2, while nucleation remains unaffected on plasma-treated SiO2. The same halogenating plasmas preferentially etch TiO2 nuclei over films and thus enable the restoration of the halogenated surface of amorphous carbon. By embedding the intermediate plasma treatments in the ALD TiO2 sequence, an 8 nm TiO2 layer could be deposited with a selectivity of 0.998. The application of the cyclic process on a 60 nm half-pitch line pattern resulted in the defect-free deposition of TiO2 at the bottom of the trenches. Cyclic fluorination demonstrated better growth inhibition compared to chlorination due to more efficient defect removal and retention of the favorable surface compn. during plasma exposure. While exploring the TiO2 nucleation defects at the limit of detection for conventional elemental anal. techniques (<1 x 1014 at/cm2), we addnl. highlight the value of imaging techniques such as at. force microscopy for understanding defect formation mechanisms and accurately assessing growth selectivity.
- 13Miyajima, C.; Ito, S.; Nakagawa, M. Selective dry etching of UV-nanoimprinted resin passivation masks for area selective atomic layer deposition of aluminum oxide. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2021, 39 (5), 052804 DOI: 10.1116/6.000125013https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitVGnsLnO&md5=0e39b00456d4778a2be3faaf6e972868Selective dry etching of UV-nanoimprinted resin passivation masks for area selective atomic layer deposition of aluminum oxideMiyajima, Chiaki; Ito, Shunya; Nakagawa, MasaruJournal of Vacuum Science & Technology, B: Nanotechnology & Microelectronics: Materials, Processing, Measurement, & Phenomena (2021), 39 (5), 052804CODEN: JVSTCN; ISSN:2166-2746. (American Institute of Physics)To demonstrate area selective at. layer deposition (ALD) using UV-nanoimprinted resin patterns as phys. passivation masks, we investigated the removal of UV-cured resin films subjected to sequential mutual doses of trimethylaluminum (TMA) and H2O by using dry etching procedures. On the basis of the removal of a residual layer characteristic from imprint resin patterns by anisotropic oxygen reactive ion etching (O2 RIE), oxidatively etched UV-cured films were modified with TMA and H2O in an ALD-like cyclic manner. Atomic force microscopy and time-of-flight secondary ion mass spectrometry [time-of-flight secondary ion mass spectrometry (TOF-SIMS)] anal. suggested that the combination of phys. Ar ion milling and subsequent chem. O2 RIE enabled the elimination of oxidized UV-cured resin masks modified with 5-cycle TMA doses. By contrast, Ar ion milling or O2 RIE left org. or inorg. residues on silicon surfaces, resp. A TMA-modified hybridized resin layer was etched by phys. Ar ion milling; subsequently, the org. residual resin layer was removed by chem. O2 RIE in the case of 5-cycle modification with TMA. The mapping image of Al+ visualized by TOF-SIMS suggested that line patterns of aluminum oxide were left selectively on unmasked silicon substrate surfaces by site-selective dry etching of TMA-modified imprint resin passivation masks with 500 nm linewidth. (c) 2021 American Institute of Physics.
- 14Papananou, H.; Katsumata, R.; Neary, Z.; Goh, R.; Meng, X.; Limary, R.; Segalman, R. A. Dopamine-Mediated Polymer Coating Facilitates Area-Selective Atomic Layer Deposition. ACS Appl. Polym. Mater. 2021, 3 (10), 4924– 4931, DOI: 10.1021/acsapm.1c0069214https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhvFKrt7vJ&md5=d41e0d640a5af832a9e236141698b856Dopamine-Mediated Polymer Coating Facilitates Area-Selective Atomic Layer DepositionPapananou, Hellen; Katsumata, Reika; Neary, Zachary; Goh, Rubayn; Meng, Xiangxi; Limary, Ratchana; Segalman, Rachel A.ACS Applied Polymer Materials (2021), 3 (10), 4924-4931CODEN: AAPMCD; ISSN:2637-6105. (American Chemical Society)Area-selective at. layer deposition (ALD) has the potential to significantly improve current fabrication approaches by introducing a bottom-up process in which robust and conformal thin films are selectively deposited onto patterned substrates. This bottom-up approach requires selective areas of the substrates to be masked to inhibit deposition. Spontaneous self-assembly and organization of a mask, incorporating adhesion and other functions, are particularly attractive for this role as they do not require a sep. patterning step. Here, we make use of the pH/light tunability of catechol adhesion to develop a catechol-functionalized polymer that exhibits tunable adhesion strengths on different materials based on their specific chem. Tunable selective deposition was shown between metal/metal oxide substrates by controlling the local pH. Moreover, by controlling the adhesion strength through UV light, the deposition of hafnium oxide (HfO2) during ALD was successfully inhibited.
- 15Chou, C.-Y.; Lee, W.-H.; Chuu, C.-P.; Chen, T.-A.; Hou, C.-H.; Yin, Y.-T.; Wang, T.-Y.; Shyue, J.-J.; Li, L.-J.; Chen, M.-J. Atomic Layer Nucleation Engineering: Inhibitor-Free Area-Selective Atomic Layer Deposition of Oxide and Nitride. Chem. Mater. 2021, 33 (14), 5584– 5590, DOI: 10.1021/acs.chemmater.1c0082315https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhsV2htb7I&md5=24b5d6fcc2b8e6383ce3eb36aa3fdb58Atomic layer nucleation engineering: Inhibitor-free area-selective atomic layer deposition of oxide and nitrideChou, Chun-Yi; Lee, Wei-Hao; Chuu, Chih-Piao; Chen, Tse-An; Hou, Cheng-Hung; Yin, Yu-Tung; Wang, Ting-Yun; Shyue, Jing-Jong; Li, Lain-Jong; Chen, Miin-JangChemistry of Materials (2021), 33 (14), 5584-5590CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Area-selective at. layer deposition (AS-ALD) has attracted attention due to the process demand for semiconductor device scaling. Here, we propose the at. layer nucleation engineering (ALNE) technique, an inhibitor-free AS-ALD of an oxide (Al2O3) and a nitride (AlN) with nearly 100% selectivity between the dielec. (SiO2) and the metal (Pt). The key is to add a radio-frequency substrate bias after precursor exposure and purge in each ALD cycle, where the energy from the ignited plasma selectively removes the precursors on the metal owing to the relatively lower binding energy compared to those on the dielec., thereby inhibiting the film growth on the metal. This crit. step enables the AS-ALD without selectivity loss up to 100 ALD cycles, leading to significant thickness differences of ~ 14.9 and ~ 8.7 nm for Al2O3 and AlN between the dielec. and metal surfaces. The realization of AS-ALD of Al2O3 and AlN by ALNE is also confirmed on the Pt/SiO2 patterned substrate. The ALNE offers a novel concept and approach to achieve high-selectivity AS-ALD, which is crit. to further extension of Moore's law.
- 16Gasvoda, R. J.; Xu, W.; Zhang, Z.; Wang, S.; Hudson, E. A.; Agarwal, S. Selective Gas-Phase Functionalization of SiO2 and SiNx Surfaces with Hydrocarbons. Langmuir 2021, 37 (13), 3960– 3969, DOI: 10.1021/acs.langmuir.1c0021216https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXmsFWgsLw%253D&md5=8a822daf7c33e8e2544d2926462c4297Selective Gas-Phase Functionalization of SiO2 and SiNx Surfaces with HydrocarbonsGasvoda, Ryan J.; Xu, Wanxing; Zhang, Zhonghao; Wang, Scott; Hudson, Eric A.; Agarwal, SumitLangmuir (2021), 37 (13), 3960-3969CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)Selective functionalization of dielec. surfaces is required for area-selective at. layer deposition and etching. We have identified precursors for the selective gas-phase functionalization of plasma-deposited SiO2 and SiNx surfaces with hydrocarbons. The corresponding reaction mechanism of the precursor mols. with the two surfaces was studied using in situ surface IR spectroscopy. We show that at a substrate temp. of 70°C, cyclic azasilanes preferentially react with an -OH-terminated SiO2 surface over a -NHx-terminated SiNx surface with an attachment selectivity of ~ 5.4, which is limited by the partial oxidn. of the SiNx surface. The cyclic azasilane undergoes a ring-opening reaction where the Si-N bond cleaves upon the reaction with surface -OH groups forming a Si-O-Si linkage. After ring opening, the backbone of the grafted hydrocarbon is terminated with a secondary amine, -NHCH3, which can react with water to form an -OH-terminated surface and release CH3NH2 as the product. The surface coverage of the grafted cyclic azasilane is calcd. as ~ 3.3 x 1014 cm-2, assuming that each reacted -OH group contributes to one hydrocarbon linkage. For selective attachment to SiNx over SiO2 surfaces, we detd. the reaction selectivity of aldehydes. We demonstrate that aldehydes selectively attach to SiNx over SiO2 surfaces, and for the specific branched aliph. aldehyde used in this work, almost no reaction was detected with the SiO2 surface. A fraction of the aldehyde mols. reacts with surface -NH2 groups to form an imine (Si-N = C) surface linker with H2O released as the byproduct. The other fraction of the aldehydes also reacts with surface -NH2 groups but do not undergo the water-elimination step and remains attached to the surface as an aminoalc. (Si-NH-COH-). The surface coverage of the grafted aldehyde is calcd. as ~ 9.8 x 1014 cm-2 using a known IR absorbance cross-section for the -C(CH3)3 groups.
- 17Kim, H.-M.; Lee, J.-H.; Lee, S.-H.; Harada, R.; Shigetomi, T.; Lee, S.; Tsugawa, T.; Shong, B.; Park, J.-S. Area-Selective Atomic Layer Deposition of Ruthenium Using a Novel Ru Precursor and H2O as a Reactant. Chem. Mater. 2021, 33 (12), 4353– 4361, DOI: 10.1021/acs.chemmater.0c0449617https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXht1yhurfM&md5=c16c90aae635ec7abb4843d20c44aaa6Area-selective atomic layer deposition of ruthenium using Ru precursor and H2O as reactantKim, Hye-Mi; Lee, Jung-Hoon; Lee, Seung-Hwan; Harada, Ryosuke; Shigetomi, Toshiyuki; Lee, Seungjoon; Tsugawa, Tomohiro; Shong, Bonggeun; Park, Jin-SeongChemistry of Materials (2021), 33 (12), 4353-4361CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Ruthenium (Ru) has drawn attention in the field of future semiconductor processing as a diffusion barrier layer and an electrode material. Here, ruthenium films are deposited by at. layer deposition (ALD) using a novel precursor, Ru2{μ2-η3-N(tBu)-C(H)-C(iPr)}(CO)6 (T-Rudic), and two different co-reagents, i.e., H2O and O2. Ru films are deposited at 0.1 Å/cycle at 150 °C with H2O and 0.8 Å/cycle at 200 °C with O2. The H2O reactant set exhibits ALD satn. between 150 and 200 °C. However, the O2 reactant set shows a linear incremental growth rate over 200 °C and nongrowth under 175 °C. Film growth preference is obsd. on various substrates (e.g., Si, SiO2, Al2O3, and graphitic carbon) when the H2O reactant is applied at 150 °C. Both exptl. data and d. functional theory calcns. indicate that preferential growth occurs on a hydrogen-terminated surface (Si) rather than a hydroxyl-terminated surface (SiO2). The Auger electron spectroscopy mapping image of a selectively deposited Ru film on a patterned Si and SiO2 substrate supports that this selective deposition mechanism also occurs in a square-patterned substrate.
- 18Lee, J.; Lee, J.-M.; Oh, H.; Kim, C.; Kim, J.; Kim, D. H.; Shong, B.; Park, T. J.; Kim, W.-H. Inherently Area-Selective Atomic Layer Deposition of SiO2 Thin Films to Confer Oxide Versus Nitride Selectivity. Adv. Funct. Mater. 2021, 31 (33), 2102556 DOI: 10.1002/adfm.20210255618https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhsVegu7nK&md5=bd52db11fa987df3afb0f09a369ace85Inherently Area-Selective Atomic Layer Deposition of SiO2 Thin Films to Confer Oxide Versus Nitride SelectivityLee, Jinseon; Lee, Jeong-Min; Oh, Hongjun; Kim, Changhan; Kim, Jiseong; Kim, Dae Hyun; Shong, Bonggeun; Park, Tae Joo; Kim, Woo-HeeAdvanced Functional Materials (2021), 31 (33), 2102556CODEN: AFMDC6; ISSN:1616-301X. (Wiley-VCH Verlag GmbH & Co. KGaA)Area-selective at. layer deposition (AS-ALD) offers tremendous advantages in comparison with conventional top-down patterning processes that at.-level selective deposition can achieve in a bottom-up fashion on pre-defined areas in multi-dimensional structures. In this work, a method for exploiting substrate-dependent selectivity of aminosilane precursors for oxides vs. nitrides through chemo-selective adsorption is reported. For this purpose, AS-ALD of SiO2 thin films on SiO2 substrates rather than on SiN substrates are investigated. Theor. screening using d. functional theory (DFT) calcns. are performed to identify Si precursors that maximize adsorption selectivity; results indicate that di(isopropylamino)silane (DIPAS) has the potential to function as a highly chemo-selective precursor. Application of this precursor to SiN and SiO2 substrates result in inherent deposition selectivity of ≈4 nm without the aid of surface inhibitors. Furthermore, deposition selectivity is enhanced using an ALD-etch supercycle in which an etching step inserts periodically after a certain no. of ALD SiO2 cycles. Thereby, enlarged deposition selectivity greater than ≈10 nm is successfully achieved on both blanket- and SiO2/SiN-patterned substrates. Finally, area-selective SiO2 thin films over 4-5 nm are demonstrated inside 3D nanostructure. This approach for performing inherent AS-ALD expands the potential utility of bottom-up nanofabrication techniques for next-generation nanoelectronic applications.
- 19Li, Y.-C.; Cao, K.; Yu-Xiao, L.; Jing-Ming, Z.; Gong, M.; Yan-Wei, W.; Shan, B.; Chen, R. Inherently Area-Selective Atomic Layer Deposition of Manganese Oxide through Electronegativity-Induced Adsorption. Molecules 2021, 26 (10), 3056 DOI: 10.3390/molecules2610305619https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXht1OisL7F&md5=604e4304da864bd46dedbff0e376ca46Inherently area-selective atomic layer deposition of manganese oxide through electronegativity-induced adsorptionLi, Yi-Cheng; Cao, Kun; Lan, Yu-Xiao; Zhang, Jing-Ming; Gong, Miao; Wen, Yan-Wei; Shan, Bin; Chen, RongMolecules (2021), 26 (10), 3056CODEN: MOLEFW; ISSN:1420-3049. (MDPI AG)Manganese oxide (MnOx) shows great potential in the areas of nano-electronics, magnetic devices and so on. Since the characteristics of precise thickness control at the at. level and self-align lateral patterning, area-selective deposition (ASD) of the MnOx films can be used in some key steps of nanomanufg. In this work, MnOx films are deposited on Pt, Cu and SiO2 substrates using Mn(EtCp)2 and H2O over a temp. range of 80-215°C. Inherently area-selective at. layer deposition (ALD) of MnOx is successfully achieved on metal/SiO2 patterns. The selectivity improves with increasing deposition temp. within the ALD window. Moreover, it is demonstrated that with the decrease of electronegativity differences between M (M = Si, Cu and Pt) and O, the chemisorption energy barrier decreases, which affects the initial nucleation rate. The inherent ASD aroused by the electronegativity differences shows a possible method for further development and prediction of ASD processes.
- 20Bobb-Semple, D.; Zeng, L.; Cordova, I.; Bergsman, D. S.; Nordlund, D.; Bent, S. F. Substrate-Dependent Study of Chain Orientation and Order in Alkylphosphonic Acid Self-Assembled Monolayers for ALD Blocking. Langmuir 2020, 36 (43), 12849– 12857, DOI: 10.1021/acs.langmuir.0c0197420https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXitV2ntr3I&md5=0a416c78605f2fe5216acbf3a015306aSubstrate-Dependent Study of Chain Orientation and Order in Alkylphosphonic Acid Self-Assembled Monolayers for ALD BlockingBobb-Semple, Dara; Zeng, Li; Cordova, Isvar; Bergsman, David S.; Nordlund, Dennis; Bent, Stacey F.Langmuir (2020), 36 (43), 12849-12857CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)For years, many efforts in area selective at. layer deposition (AS-ALD) have focused on trying to achieve high-quality self-assembled monolayers (SAMs), which have been shown by a no. of studies to be effective for blocking deposition. Herein, we show that in some cases where a densely packed SAM is not formed, significant ALD inhibition may still be realized. The formation of octadecylphosphonic acid (ODPA) SAMs was evaluated on four metal substrates: Cu, Co, W, and Ru. The mol. orientation, chain packing, and relative surface coverage were evaluated using near-edge X-ray absorption fine structure (NEXAFS), Fourier transform IR (FTIR) spectroscopy, and electrochem. impedance spectroscopy (EIS). ODPA SAMs formed on Co, Cu, and W showed strong angular dependence of the NEXAFS signal whereas ODPA on Ru did not, suggesting a disordered layer was formed on Ru. Addnl., EIS and FTIR spectroscopy confirmed that Co and Cu form densely packed, "crystal-like" SAMs whereas Ru and W form less dense monolayers, a surprising result since W-ODPA was previously shown to inhibit the ALD of ZnO and Al2O3 best among all the substrates. This work suggests that multiple factors play a role in SAM-based AS-ALD, not just the SAM quality. Therefore, metrol. averaging techniques (e.g., WCA and FTIR spectroscopy) commonly used for evaluating SAMs to predict their suitability for ALD inhibition should be supplemented by more atomically sensitive methods. Finally, it highlights important considerations for describing the mechanism of SAM-based selective ALD.
- 21de Paula, C.; Bobb-Semple, D.; Bent, S. F. Increased selectivity in area-selective ALD by combining nucleation enhancement and SAM-based inhibition. J. Mater. Res. 2021, 36 (3), 582– 591, DOI: 10.1557/s43578-020-00013-421https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitFSgtrfJ&md5=d96bb0df76a7ee887725cf6c05950b1cIncreased selectivity in area-selective ALD by combining nucleation enhancement and SAM-based inhibitionde Paula, Camila; Bobb-Semple, Dara; Bent, Stacey F.Journal of Materials Research (2021), 36 (3), 582-591CODEN: JMREEE; ISSN:2044-5326. (Springer International Publishing AG)An area-selective at. layer deposition (AS-ALD) process is developed that achieves increased selectivity by combining two strategies: (i) selective enhancement using a small mol. activator and (ii) self-assembled monolayer (SAM)-based inhibition. Specifically, we show that Pt can be selectively deposited on SiO2 over Co. In this process, Co, which serves as the non-growth surface, is protected by an octadecylphosphonic acid (ODPA) SAM, while the subsequent nucleation and growth of Pt on SiO2 is enhanced using a trimethylaluminum (AlMe3) pretreatment. This combination of enhancement and inhibition yields 3-6 times higher Pt coverage on the growth surface (SiO2) while maintaining selectivity of at least 0.98 after 100 Pt ALD cycles. Pt is used here as a model system, but this process can be extended for AS-ALD of other materials.
- 22Liu, T.-L.; Bent, S. F. Area-Selective Atomic Layer Deposition on Chemically Similar Materials: Achieving Selectivity on Oxide/Oxide Patterns. Chem. Mater. 2021, 33 (2), 513– 523, DOI: 10.1021/acs.chemmater.0c0322722https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXmvFWjtQ%253D%253D&md5=2a8be7619bdb830c6457ea00572724f7Area-selective atomic layer deposition on chemically similar materials: Achieving selectivity on oxide/oxide patternsLiu, Tzu-Ling; Bent, Stacey F.Chemistry of Materials (2021), 33 (2), 513-523CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Area-selective at. layer deposition (AS-ALD) is attracting increasing interest, but the process usually requires substrate materials with substantially different chem. properties. We introduce a process that expands the application to more general material systems by demonstrating AS-ALD on patterns with chem. similar materials. The substrate materials investigated are Al2O3, HfO2, TiO2, Ta2O5, and SiO2. By taking advantage of differential reactivity of octadecylphosphonic acid (ODPA) self-assembled monolayers (SAMs) on the various dielec. surfaces, we use the SAMs as ALD inhibitors to achieve selective ALD of both ZnO and Al2O3. With SiO2 as the growth surface, the best blocking performance against ZnO and Al2O3 ALD is achieved on ODPA-protected Al2O3 and HfO2 substrates which reach selectivities above 0.9 after 14 nm ZnO and 2.5 nm Al2O3 growth, resp., on control Si wafers. Selectivity between different metal oxides is also explored, including HfO2/Al2O3 patterns. With the optimization of solvent and ODPA SAM deposition time, selectivity above 0.9 can be achieved for at least 4 nm ZnO ALD on a HfO2 growth surface, while preventing growth on an Al2O3 nongrowth surface. This study introduces a strategy for achieving more general selectivity and opens up the possibility for new applications in next generation electronic devices.
- 23Liu, T.-L.; Zeng, L.; Nardi, K. L.; Hausmann, D. M.; Bent, S. F. Characterizing Self-Assembled Monolayer Breakdown in Area-Selective Atomic Layer Deposition. Langmuir 2021, 37 (39), 11637– 11645, DOI: 10.1021/acs.langmuir.1c0221123https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitVymtLrM&md5=ff4bb32d925676103422970ec4c7917fCharacterizing self-assembled monolayer breakdown in area-selective atomic layer depositionLiu, Tzu-Ling; Zeng, Li; Nardi, Katie L.; Hausmann, Dennis M.; Bent, Stacey F.Langmuir (2021), 37 (39), 11637-11645CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)To enable area-selective at. layer deposition (AS-ALD), self-assembled monolayers (SAMs) have been used as the surface inhibitor to block a variety of ALD processes. The integrity of the SAM throughout the ALD process is crit. to AS-ALD. Despite the demonstrated effectiveness of inhibition by SAMs, nucleation during ALD eventually occurs on SAM-protected surfaces, but its impact on SAM structures is still not fully understood. In this study, we chose the octadecyltrichlorosilane (ODTS) SAM as a model system to investigate the evolution of crystallinity and structure of SAMs before and after ALD. The breakdown behavior of SAMs when exposed to ZnO and Al2O3 ALD was systematically studied by combining synchrotron X-ray techniques and electron microscopy. We show that the crystallinity and structure of ODTS SAMs grown on Si substrates remain intact until a significant amt. of material deposition takes place. In addn., the undesired ALD materials that grow on ODTS SAMs present contrasting morphologies: dispersed nanoparticles for ZnO while relatively continuous film for Al2O3. Lastly, substrate dependency was explored by comparing a Si substrate to single-crystal sapphire. Similar results in the evolution of SAM crystallinity and formation of ALD nuclei on top of SAM are obsd. in the ODTS-sapphire system. This study provides an in-depth view of the influence of ALD processes on the SAM structure and the nucleation behavior of ALD on SAM-protected surfaces.
- 24Hashemi, F. S. M.; Prasittichai, C.; Bent, S. F. Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition. ACS Nano 2015, 9 (9), 8710– 8717, DOI: 10.1021/acsnano.5b03125There is no corresponding record for this reference.
- 25Liu, T. L.; Bent, S. F. Area-Selective Atomic Layer Deposition on Chemically Similar Materials: Achieving Selectivity on Oxide/Oxide Patterns. Chem. Mater. 2021, 33 (2), 513– 523, DOI: 10.1021/acs.chemmater.0c0322725https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXmvFWjtQ%253D%253D&md5=2a8be7619bdb830c6457ea00572724f7Area-selective atomic layer deposition on chemically similar materials: Achieving selectivity on oxide/oxide patternsLiu, Tzu-Ling; Bent, Stacey F.Chemistry of Materials (2021), 33 (2), 513-523CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Area-selective at. layer deposition (AS-ALD) is attracting increasing interest, but the process usually requires substrate materials with substantially different chem. properties. We introduce a process that expands the application to more general material systems by demonstrating AS-ALD on patterns with chem. similar materials. The substrate materials investigated are Al2O3, HfO2, TiO2, Ta2O5, and SiO2. By taking advantage of differential reactivity of octadecylphosphonic acid (ODPA) self-assembled monolayers (SAMs) on the various dielec. surfaces, we use the SAMs as ALD inhibitors to achieve selective ALD of both ZnO and Al2O3. With SiO2 as the growth surface, the best blocking performance against ZnO and Al2O3 ALD is achieved on ODPA-protected Al2O3 and HfO2 substrates which reach selectivities above 0.9 after 14 nm ZnO and 2.5 nm Al2O3 growth, resp., on control Si wafers. Selectivity between different metal oxides is also explored, including HfO2/Al2O3 patterns. With the optimization of solvent and ODPA SAM deposition time, selectivity above 0.9 can be achieved for at least 4 nm ZnO ALD on a HfO2 growth surface, while preventing growth on an Al2O3 nongrowth surface. This study introduces a strategy for achieving more general selectivity and opens up the possibility for new applications in next generation electronic devices.
- 26Merkx, M. J. M.; Jongen, R. G. J.; Mameli, A.; Lemaire, P. C.; Sharma, K.; Hausmann, D. M.; Kessels, W. M. M.; Mackus, A. J. M. Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO2. J. Vac. Sci. Technol.. A 2021, 39 (1), 012402 DOI: 10.1116/6.000065226https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXisFWktrfJ&md5=ba1a741a028ea3baad08a5feb34dc856Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO2Merkx, Marc J. M.; Jongen, Rick G. J.; Mameli, Alfredo; Lemaire, Paul C.; Sharma, Kashish; Hausmann, Dennis M.; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2021), 39 (1), 012402CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)As the semiconductor industry progresses toward more complex multilayered devices with ever smaller features, accurately aligning these layers with respect to each other has become a bottleneck in the advancement to smaller transistor nodes. To avoid alignment issues, area-selective at. layer deposition (ALD) can be employed to deposit material in a self-aligned fashion. Previously, we demonstrated area-selective ALD of SiO2 using three-step (i.e., ABC-type) ALD cycles comprising an acetylacetone (Hacac) dose (step A), a bis(diethylamino)silane precursor dose (step B), and an O2 plasma exposure (step C). In this work, the mechanisms of the removal and reapplication of the inhibitor mols. during area-selective ALD were studied, with the aim of enhancing the selectivity of the process. In situ IR spectroscopy shows that the O2 plasma exposure does not completely remove the adsorbed Hacac species (i.e., acac adsorbates) at the end of the cycle. The persisting species were found to contain fragments of Hacac mols., which hinder subsequent inhibitor adsorption in the next ALD cycle, and thereby contribute to a loss in selectivity. Alternatively, it was found that an H2 plasma is able to completely remove all acac species from the surface. An improvement in selectivity was achieved by using a four-step ALD cycle that includes an H2 plasma step, allowing the nucleation delay to be prolonged from 18 2 to 30 ± 3 ALD cycles. As a result, 2.7 ± 0.3 nm SiO2 can be deposited with a selectivity of 0.9, whereas only 1.6 ± 0.2 nm can be achieved without the H2 plasma step. This work shows that the addn. of a dedicated inhibitor removal step before the reapplication of the inhibitors can significantly improve the selectivity. (c) 2021 American Institute of Physics.
- 27Rozyyev, V.; Murphy, J. G.; Barry, E.; Mane, A. U.; Sibener, S. J.; Elam, J. W. Vapor-phase grafting of a model aminosilane compound to Al2O3, ZnO, and TiO2 surfaces prepared by atomic layer deposition. Appl. Surf. Sci. 2021, 562, 149996 DOI: 10.1016/j.apsusc.2021.14999627https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXht1KgsrnN&md5=347e8d68e91cfe57240ff7dbf68d8284Vapor-phase grafting of a model aminosilane compound to Al2O3, ZnO, and TiO2 surfaces prepared by atomic layer depositionRozyyev, Vepa; Murphy, Julia G.; Barry, Edward; Mane, Anil U.; Sibener, S. J.; Elam, Jeffrey W.Applied Surface Science (2021), 562 (), 149996CODEN: ASUSEE; ISSN:0169-4332. (Elsevier B.V.)Atomic layer deposition (ALD) is a highly versatile surface functionalization technique that can conformally coat both planar and porous substrates. Here we use ALD metal oxide layers to establish a well-defined starting surface for vapor-phase surface org. modification. Vapor-phase (3-aminopropyl)triethoxysilane (APTES) surface silanization of ALD Al2O3, ZnO and TiO2 surfaces were studied at 100°C, 150°C and 200°C. In situ quartz crystal microbalance (QCM) and Fourier-transform IR (FTIR) spectroscopy measurements, and ex situ at. force microscopy (AFM) and XPS measurements showed uniform monolayer silane formation through self-limiting APTES reaction. We obsd. a higher surface d. of grafted APTES species following silanization at 100°C compared to 200°C, and we attribute this to the temp.-dependent reactivity of the surface hydroxyls and changes in the mode of APTES reaction. The FTIR and XPS measurements revealed that APTES reacts with Al2O3 and ZnO exclusively through metal siloxy bond formation. However, APTES reacts with TiO2 through both siloxy bond formation and ammonium salt formation via the amine group.
- 28Vos, M. F. J.; Chopra, S. N.; Ekerdt, J. G.; Agarwal, S.; Kessels, W. M. M.; Mackus, A. J. M. Atomic layer deposition and selective etching of ruthenium for area-selective deposition: Temperature dependence and supercycle design. J. Vac. Sci. Technol., A 2021, 39 (3), 032412 DOI: 10.1116/6.000091228https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXosFCisLg%253D&md5=2bb65759719759beb0ff22f17faccfa7Atomic layer deposition and selective etching of ruthenium for area-selective deposition: Temperature dependence and supercycle designVos, Martijn F. J.; Chopra, Sonali N.; Ekerdt, John G.; Agarwal, Sumit; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2021), 39 (3), 032412CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)For future sub-5 nm technol. nodes, the fabrication of semiconductor devices will likely involve the use of area-selective at. layer deposition (ALD). While area-selective ALD processes have been reported for a variety of materials, most approaches yield a limited selectivity, for example, due to growth initiation at defects or impurities on the non-growth area. Recently, we demonstrated that Ru ALD can be combined with selective etching to achieve area-selective ALD of metal-on-metal with high selectivity. Cycles consisting of an O2 plasma and an H2 gas dose were integrated in an ALD-etch supercycle recipe to remove unwanted nuclei on the SiO2 non-growth area, while obtaining deposition on the Pt or Ru growth area. The current work discusses the challenging compromise that needs to be made between selectivity and net deposition, considering that the material is also removed from the growth area. After investigating deposition between 100 and 200°C on SiO2, Al2O3, Pt, and Ru in terms of selectivity and net deposition, a substrate temp. of 150°C was selected since the difference in Ru thickness on Pt and SiO2/Al2O3 was max. at this temp., even though still some deposition occurred on the SiO2 and Al2O3 non-growth areas. Different ALD-etch supercycles were studied, using varying O2 plasma etch times and etch frequencies. The amt. of the (undesired) material deposited on the SiO2 non-growth area was quantified, demonstrating that the selectivity improved for longer O2 plasma times. On the basis of the results, a simple math. description of the nucleation, growth, and etching effects during ALD-etch supercycles is discussed, which can assist the design of future area-selective deposition processes. Overall, this work illustrates how ALD and etch processes can be tuned to simultaneously obtain a high selectivity and a high net deposition of the material at the desired locations. (c) 2021 American Institute of Physics.
- 29Hong, J.; Porter, D. W.; Sreenivasan, R.; McIntyre, P. C.; Bent, S. F. ALD resist formed by vapor-deposited self-assembled monolayers. Langmuir 2007, 23 (3), 1160– 1165, DOI: 10.1021/la060640129https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XhtlahsbrI&md5=fb63869523a8f75d74f733056440041fALD Resist Formed by Vapor-Deposited Self-Assembled MonolayersHong, Junsic; Porter, David W.; Sreenivasan, Raghavasimhan; McIntyre, Paul C.; Bent, Stacey F.Langmuir (2007), 23 (3), 1160-1165CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)A new process of applying mol. resists to block HfO2 and Pt at. layer deposition (ALD) has been investigated. Monolayer films are formed from octadecyltrichlorosilane (ODTS) or tridecafluoro-1,1,2,2-tetrahydrooctyltrichlorosilane (FOTS) and water vapor on native silicon oxide surfaces and from 1-octadecene on hydrogen-passivated silicon surfaces through a low-pressure chem. vapor deposition process. XPS data indicates that surfaces blocked by these monolayer resists can prevent at. layer deposition of both HfO2 and Pt successfully. Time-dependent studies show that the ODTS monolayers continue to improve in blocking ability for as long as 48 h of formation time, and IR spectroscopy measurements confirm an evolution of packing order over these time scales.
- 30Halls, M. D.; Raghavachari, K. Atomic layer deposition of Al2O3 on H-passivated Si.: I.: Initial surface reaction pathways with H/Si(100)-2 × 1. J. Chem. Phys. 2003, 118 (22), 10221– 10226, DOI: 10.1063/1.157151330https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXktVaktro%253D&md5=e92cecb9219e85ad6957c032c4e638ddAtomic layer deposition of Al2O3 on H-passivated Si. I. Initial surface reaction pathways with H/Si(100)-2×1Halls, Mathew D.; Raghavachari, KrishnanJournal of Chemical Physics (2003), 118 (22), 10221-10226CODEN: JCPSA6; ISSN:0021-9606. (American Institute of Physics)Aluminum oxide (Al2O3) grown by at. layer deposition (ALD) is currently under investigation for use as a high-k gate dielec. alternative to SiO2. Cluster calcns. employing hybrid d. functional theory have been carried out to examine the chem. reaction pathways between the ALD precursors, trimethylaluminum (TMA) and H2O, with the H/Si(100)-2×1 surface. Results obtained using Si9H14 and Si15H20, dimer and double dimer clusters to represent the surface active site are in good agreement, providing a consistent view of reaction energetics on the H/Si(100)-2×1 surface. The adsorption energies for TMA and H2O on the surface are calcd. to be 0.02 and 0.15 eV, resp. For the reaction between H2O and the H/Si(100)-2×1 surface, hydroxylation of the surface accompanied by loss of H2 was found to be the preferred pathway having an activation energy and overall reaction enthalpy of 1.60 eV and -0.75 eV, both of which are ≥0.70 eV lower than the corresponding values for the possible H/D exchange reaction. TMA exposure of the H/Si(100)-2×1 surface favors the deposition of -Al(CH3)2 with loss of CH4, having a barrier height of 1.30 eV and reaction enthalpy of -0.31 eV, which are 0.10 and 0.40 eV lower than the surface methylation pathway (H/CH3 exchange) and 2.64 and 0.45 eV lower in energy than the H2 loss reaction, which results in the deposition of -CH2-Al(CH3)2 to the surface. Therefore, the dominant reactions identified in this work are those with direct implication in the Al2O3 ALD growth mechanism, leading to the formation of Si-O and Si-Al species on the H/Si(100)-2×1 surface.
- 31Tai, T. B.; Cao, L. A.; Mattelaer, F.; Rampelberg, G.; Hashemi, F. S. M.; Dendooven, J.; van Ommen, J. R.; Detavernier, C.; Reyniers, M. F. Atomic Layer Deposition of Al2O3 Using Aluminum Triisopropoxide (ATIP): A Combined Experimental and Theoretical Study. J. Phys. Chem. C 2019, 123 (1), 485– 494, DOI: 10.1021/acs.jpcc.8b0919831https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXisVOit7fO&md5=01b81ee410fbe52c18768335ff4bc3c3Atomic Layer Deposition of Al2O3 Using Aluminum Triisopropoxide (ATIP): A Combined Experimental and Theoretical StudyTai, Truong Ba; Cao, LiAo; Mattelaer, Felix; Rampelberg, Geert; Hashemi, Fatemeh S. M.; Dendooven, Jolien; van Ommen, J. Ruud; Detavernier, Christophe; Reyniers, Marie-FrancoiseJournal of Physical Chemistry C (2019), 123 (1), 485-494CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)The aluminum precursor plays a crucial role in the Al2O3 at. layer deposition (ALD) process. To date, trimethylaluminum (TMA) is 1 of the most widely used precursors in exptl. and theor. studies. However, its application at industrial scale can pose safety risks since it is pyrophoric and extremely reactive with water. Aluminum alkoxides offer a promising alternative, but have received far less attention. A combined theor. and exptl. investigation is carried out on the Al2O3 ALD process using aluminum triisopropoxide (ATIP) as a prototypical example of Al-alkoxide precursors. The thermal ALD process using ATIP and water has a maximal growth per cycle (GPC) of 1.8 Å/cycle at 150-175°. On the basis of the in situ mass spectrometry anal. and DFT calcns., the formation of the alumina film mainly occurs during the water pulse by ligand exchange reactions between water and adsorbed precursors, while during the ATIP pulse only adsorption of ATIP and/or its dissocn. occur. Design of heteroleptic precursors contg. alkoxide group as basic ligand is challenging, but greatly promising for future industrial scale Al2O3 ALD.
- 32Perrine, K. A.; Teplyakov, A. V. Reactivity of selectively terminated single crystal silicon surfaces. Chem. Soc. Rev. 2010, 39 (8), 3256– 3274, DOI: 10.1039/b822965c32https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXptFyhu70%253D&md5=e83961888dbbe9aa872d10fc98395a39Reactivity of selectively terminated single crystal silicon surfacesPerrine, Kathryn A.; Teplyakov, Andrew V.Chemical Society Reviews (2010), 39 (8), 3256-3274CODEN: CSRVBR; ISSN:0306-0012. (Royal Society of Chemistry)A review. As the cornerstone of multiple practical applications, silicon single crystal surfaces have attracted the interest of scientific and engineering communities for several decades. The most recent advances employ the surfaces precovered with a specific functionality to extend into the realm of org. and metal-org. films with well-defined interfaces, to protect the surfaces from oxidn. and other contaminations, and to build the components of present and future mol. electronics and sensing devices. This crit. review will focus on the reactivity of the selectively terminated Si(100) and Si(111) surfaces. The hydrogen and halogen-terminated surfaces are the most widely used and most heavily reviewed previously, thus only a brief summary will be given here with the emphasis of the most recent thermal approaches to functionalization of hydrogen-terminated silicon. The silicon surfaces precovered with NHx functionality are emerging as a very likely candidate both for the prodn. of sharp interfaces and for coadsorption, co-assembly, and potential mol. templating of patterns on single cryst. surfaces. A brief overview of recent advances in achieving control over the hydroxyl-termination of silicon will be given. Some future directions for further development of chem., reactivity, and assembly on these surfaces, as well as potential applications, are highlighted in the last section (152 refs.).
- 33Clark, R.; Tapily, K.; Yu, K. H.; Hakamata, T.; Consiglio, S.; O’Meara, D.; Wajda, C.; Smith, J.; Leusink, G. Perspective: New process technologies required for future devices and scaling. APL Mater. 2018, 6 (5), 058203 DOI: 10.1063/1.502680533https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhtVGisr7L&md5=23afc1e8855db73cb2a0d6e2f2017d77Perspective: New process technologies required for future devices and scalingClark, R.; Tapily, K.; Yu, K.-H.; Hakamata, T.; Consiglio, S.; O'Meara, D.; Wajda, C.; Smith, J.; Leusink, G.APL Materials (2018), 6 (5), 058203/1-058203/12CODEN: AMPADS; ISSN:2166-532X. (American Institute of Physics)This paper presents an overview and perspective on processing technologies required for continued scaling of leading edge and emerging semiconductor devices. We introduce the main drivers and trends affecting future semiconductor device scaling and provide examples of emerging devices and architectures that may be implemented within the next 10-20 yr. We summarize multiple active areas of research to explain how future thin film deposition, etch, and patterning technologies can enable 3D (vertical) power, performance, area, and cost scaling. Emerging and new process technologies will be required to enable improved contacts, scaled and future devices and interconnects, monolithic 3D integration, and new computing architectures. These process technologies are explained and discussed with a focus on opportunities for continued improvement and innovation. (c) 2018 American Institute of Physics.
- 34He, J.; Patitsas, S. N.; Preston, K. F.; Wolkow, R. A.; Wayner, D. D. M. Covalent bonding of thiophenes to Si(111) by a halogenation/thienylation route. Chem. Phys. Lett. 1998, 286 (5–6), 508– 514, DOI: 10.1016/S0009-2614(98)00128-634https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK1cXit1Sktrc%253D&md5=7698902377461be487a504ba85d799eaCovalent bonding of thiophenes to Si(111) by a halogenation/thienylation routeHe, J.; Patitsas, S. N.; Preston, K. F.; Wolkow, R. A.; Wayner, D. D. M.Chemical Physics Letters (1998), 286 (5,6), 508-514CODEN: CHPLBC; ISSN:0009-2614. (Elsevier Science B.V.)Thienyl monolayers covalently bonded to a Si(111) surface were prepd. by a wet chem. process in which a Si(111)-H surface was brominated to form Si(111)-Br and further reacted with lithiated thiophenes. Both N-bromosuccinimide and bromochloroform were found to be effective brominating reagents. The derivatized Si(111) surfaces were characterized by XPS, AES, ATR-FTIR and NEXAFS.
- 35Yu, W. H.; Kang, E. T.; Neoh, K. G.; Zhu, S. P. Controlled grafting of well-defined polymers on hydrogen-terminated silicon substrates by surface-initiated atom transfer radical polymerization. J. Phys. Chem. B 2003, 107 (37), 10198– 10205, DOI: 10.1021/jp034330s35https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXmtl2ltro%253D&md5=2e2f7439a4bce6c33e353395961b650fControlled grafting of well-defined polymers on hydrogen-terminated silicon substrates by surface-initiated atom transfer radical polymerizationYu, W. H.; Kang, E. T.; Neoh, K. G.; Zhu, ShipingJournal of Physical Chemistry B (2003), 107 (37), 10198-10205CODEN: JPCBFK; ISSN:1520-6106. (American Chemical Society)Controlled grafting of well-defined polymer brushes on the hydrogen-terminated Si(100) substrates (the Si-H substrate) was carried out via the surface-initiated atom transfer radical polymn. (ATRP). Surface initiators were immobilized on the Si-H substrates in three consecutive steps: (i) coupling of an ω-unsatd. alkyl ester to the Si-H surface under UV irradn., (ii) redn. of the ester groups by LiAlH4, and (iii) esterification of the surface-tethered hydroxyl groups with 2-bromoisobutyrate bromide. Homopolymer brushes of Me methacrylate (MMA), (2-dimethylamino)ethyl methacrylate (DMAEMA), and poly(ethylene glycol) monomethacrylate (PEGMA) were prepd. by ATRP from the α-bromoester functionalized silicon surface. The chem. compn. and topog. of the graft-functionalized silicon surfaces were characterized by XPS and at. force microscopy (AFM), resp. Kinetic studies revealed a linear increase in polymer film thickness with reaction time, indicating that chain growth from the surface was a controlled process with a "living" characteristic. Diblock copolymer brushes consisting of PMMA and PDMAEMA blocks were obtained by using the homopolymer brushes as the macroinitiators for the ATRP of the second monomer, providing further evidence to the existence of "living" chain ends. ATRP from the Si-H surfaces allowed the prepn. of polymeric-inorg. hybrid materials with well-structured surface and interface.
- 36Coffinier, Y.; Piret, G.; Das, M. R.; Boukherroub, R. Effect of surface roughness and chemical composition on the wetting properties of silicon-based substrates. C. R. Chim. 2013, 16 (1), 65– 72, DOI: 10.1016/j.crci.2012.08.01136https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XhtlKit7jJ&md5=9abc75b37953b942603bcecf4072fbb4Effect of surface roughness and chemical composition on the wetting properties of silicon-based substratesCoffinier, Yannick; Piret, Gaelle; Das, Manash R.; Boukherroub, RabahComptes Rendus Chimie (2013), 16 (1), 65-72CODEN: CRCOCR; ISSN:1631-0748. (Elsevier Masson SAS)The article reports on the wetting properties of Si-based materials as a function of their roughness and chem. compn. The studied surfaces consist of H-terminated and chem. modified atomically flat cryst. Si, porous Si and Si nanowires. The hydrogenated surfaces are functionalized with 1-octadecene or undecylenic acid under thermal conditions. The changes occurring upon surface functionalization are characterized using FTIR spectroscopy, XPS spectroscopy and H2O contact angle measurements. By increasing the surface roughness, the static H2O contact angle increases. The combination of high surface roughness with chem. functionalization with H2O repellent coating (1-octadecene) enables reaching superhydrophobicity (water contact angle >150°) for Si nanowires.
- 37Frederick, E.; Dwyer, K. J.; Wang, G. T.; Misra, S.; Butera, R. E. The stability of Cl-, Br-, and I-passivated Si(100)-(2 × 1) in ambient environments for atomically-precise pattern preservation. J. Phys.: Condens. Matter 2021, 33 (44), 444001 DOI: 10.1088/1361-648x/ac1aa437https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXitFOjtL3O&md5=f1e6d47da3be37545bd93b8955ef3ce5The stability of Cl-, Br-, and I-passivated Si(100)-(2 x 1) in ambient environments for atomically-precise pattern preservationFrederick, E.; Dwyer, K. J.; Wang, G. T.; Misra, S.; Butera, R. E.Journal of Physics: Condensed Matter (2021), 33 (44), 444001CODEN: JCOMEL; ISSN:0953-8984. (IOP Publishing Ltd.)Atomic precision advanced manufg. (APAM) leverages the highly reactive nature of Si dangling bonds relative to H- or Cl-passivated Si to selectively adsorb precursor mols. into lithog. defined areas with sub-nanometer resoln. Due to the high reactivity of dangling bonds, this process is confined to ultra-high vacuum (UHV) environments, which currently limits its commercialization and broad-based appeal. In this work, we explore the use of halogen adatoms to preserve APAM-derived lithog. patterns outside of UHV to enable facile transfer into real-world com. processes. Specifically, we examine the stability of H-, Cl-, Br-, and I-passivated Si(100) in inert N2 and ambient environments. Characterization with scanning tunneling microscopy and XPS confirmed that each of the fully passivated surfaces were resistant to oxidn. in 1 atm of N2 for up to 44 h. Varying levels of surface degrdn. and contamination were obsd. upon exposure to the lab. ambient environment. Characterization by ex situ XPS after ambient exposures ranging from 15 min to 8 h indicated the Br- and I-passivated Si surfaces were highly resistant to degrdn., while Cl-passivated Si showed signs of oxidn. within minutes of ambient exposure. As a proof-of-principle demonstration of pattern preservation, a H-passivated Si sample patterned and passivated with independent Cl, Br, I, and bare Si regions was shown to maintain its integrity in all but the bare Si region post-exposure to an N2 environment. The successful demonstration of the preservation of APAM patterns outside of UHV environments opens new possibilities for transporting atomically-precise devices outside of UHV for integrating with non-UHV processes, such as other chemistries and com. semiconductor device processes.
- 38Rogozhina, E.; Belomoin, G.; Smith, A.; Abuhassan, L.; Barry, N.; Akcakir, O.; Braun, P. V.; Nayfeh, M. H. Si-N linkage in ultrabright, ultrasmall Si nanoparticles. Appl. Phys. Lett. 2001, 78 (23), 3711– 3713, DOI: 10.1063/1.137761938https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXjvF2mtLo%253D&md5=e071b9244bc693351cf17ffa40a08c50Si-N linkage in ultra-bright, ultrasmall Si nanoparticlesRogozhina, E.; Belomoin, G.; Smith, A.; Abuhassan, L.; Barry, N.; Akcakir, O.; Braun, P. V.; Nayfeh, M. H.Applied Physics Letters (2001), 78 (23), 3711-3713CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)Ultra-bright ultrasmall (∼1 nm) blue luminescent Si29 nanoparticles are chlorinated by reaction with Cl2 gas. A Si-N linkage is formed by the reaction of the chlorinated particles with the functional amine group in butylamine. FTIR spectroscopy and x-ray photo-spectroscopy measurements confirm the N linkage and the presence of the Bu group, while emission, excitation, and autocorrelation femtosecond optical spectroscopy show that, after the linkage formation, the particles with the ultra-bright blue luminescence remain, but with a red shift of 40 nm.
- 39Ferng, S. S.; Wu, S. T.; Lin, D. S.; Chiang, T. C. Mediation of chain reactions by propagating radicals during halogenation of H-masked Si(100): Implications for atomic-scale lithography and processing. J. Chem. Phys. 2009, 130 (16), 164706 DOI: 10.1063/1.312298739https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXlsVGgtbo%253D&md5=efa0e139a3d458ca31e5dcf439bb4d43Mediation of chain reactions by propagating radicals during halogenation of H-masked Si(100): Implications for atomic-scale lithography and processingFerng, Shyh-Shin; Wu, Shiao-Ting; Lin, Deng-Sung; Chiang, Tai C.Journal of Chemical Physics (2009), 130 (16), 164706/1-164706/5CODEN: JCPSA6; ISSN:0021-9606. (American Institute of Physics)Scanning tunneling microscopy reveals a free radical-induced surface chain reaction in the chlorination of nanoscale patterns on an otherwise H-passivated (masked) Si(100). While scanning probe methods can be used to pattern active surface regions with single-bond precision, follow-up selective chem. vapor deposition with polyat. mols. can produce various filling characteristics. On active surface regions, mol. Cl2 undergoes an atom abstraction reaction in which a Si dangling bond abstrs. one atom of the incident Cl2 mol. while the complementary Cl atom is scattered away from the initial abstraction site either back into the vacuum or to be captured by a second dangling bond and adsorbed there, or to react with a nearby adsorbed H atom to form volatile HCl. In contrast, I2 undergoes only dissociative adsorption on two immediately neighboring dangling bonds, whereby two I-Si bonds are formed simultaneously upon cleavage of the I2 bond. The different chemisorption processes of the two model diat. mol. gases place intrinsic limitations on at.-scale lithog. and processing: adsorption of Cl2 results in spillage over the prepatterned regions of active bonds. In contrast, adsorption of I2 is a pair process and results in under-filling. (c) 2009 American Institute of Physics.
- 40Rivillon, S.; Brewer, R. T.; Chabal, Y. J. Water reaction with chlorine-terminated silicon (111) and (100) surfaces. Appl. Phys. Lett. 2005, 87 (17), 173118 DOI: 10.1063/1.211942640https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2MXhtFykt7nM&md5=7f01fbb0c1100e1f42bf3d3f1d3cae85Water reaction with chlorine-terminated silicon (111) and (100) surfacesRivillon, Sandrine; Brewer, Rhett T.; Chabal, Yves J.Applied Physics Letters (2005), 87 (17), 173118/1-173118/3CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)Hydroxylation of chlorine-terminated silicon (111) and (100) by water vapor exposure has been studied as a function of substrate temp. using in situ IR absorption spectroscopy. No hydroxyl is obsd., as would have been expected from the surface reaction: Si-Cl(ads)+H2O(g) Si-OH(ads)+HCl(g) (ads referring to adsorbed surface species). Instead, silicon oxide is formed upon removal of the Si-Cl bond at ∼325 °C. This indicates that, while hydroxylation of the Cl-terminated silicon surface may occur, the hydroxylated surface formed by this reaction is not stable at the temp. necessary for the reaction.
- 41Dumas, P.; Chabal, Y. J.; Jakob, P. Morphology of Hydrogen-Terminated Si(111) and Si(100) Surfaces Upon Etching in Hf and Buffered-Hf Solutions. Surf. Sci. 1992, 269-270, 867– 878, DOI: 10.1016/0039-6028(92)91363-G41https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK38XksFKmtbY%253D&md5=9e751e4339b16a4a924e7c8192150255Morphology of hydrogen-terminated silicon(111) and Si(100) surfaces upon etching in hydrofluoric acid and buffered-HF solutionsDumas, P.; Chabal, Y. J.; Jakob, P.Surface Science (1992), 269-270 (Pt. B), 867-78CODEN: SUSCAS; ISSN:0039-6028.High resoln. EELS and IR absorption spectroscopy (IRAS) were used to characterize Si(111) and Si(100) surfaces after chem. etching in solns. of HF and buffered HF. The EELS spectra confirm that the HF-etched Si surfaces are terminated completely by H, and display essentially no loss features attributable to at. or mol. impurities. IRAS and specular beam EELS measurements show that Si(111) surfaces become flatter as the pH of the etching solns. increases from 1 (concd. HF) to 7.8 (NH4F soln.). For high pH, the (111) surfaces are atomically flat and ideally H-terminated. In contrast, the Si(100) surfaces remain rough for all pH. The surface morphol., however, depends critically on the pH and varies most for pH between 5 and 7. The EELS spectra are dominated by impact scattering and the loss features show no measurable dispersion except for the atomically smooth, ideally H-terminated Si(111) surface.
- 42Layadi, N.; Donnelly, V. M.; Lee, J. T. C. Cl-2 plasma etching of Si(100): Nature of the chlorinated surface layer studied by angle-resolved x-ray photoelectron spectroscopy. J. Appl. Phys. 1997, 81 (10), 6738– 6748, DOI: 10.1063/1.36521642https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK2sXjt1Wksrw%253D&md5=98826aa388315141eb30ab528552e608Cl2 plasma etching of Si(100): nature of the chlorinated surface layer studied by angle-resolved x-ray photoelectron spectroscopyLayadi, N.; Donnelly, V. M.; Lee, J. T. C.Journal of Applied Physics (1997), 81 (10), 6738-6748CODEN: JAPIAU; ISSN:0021-8979. (American Institute of Physics)The interaction of a Cl2 plasma with a Si(100) surface has been investigated by angle resolved XPS (XPS). It was found that the amt. of chlorine incorporated into the near-surface region of Si increases with ion energy, and does not change with long exposure to the plasma. Chlorine is present as SiClx (x=1-3) with av. relative coverages (integrated over depth) of [SiCl]:[SiCl2]:[SiCl3]≃1:0.33.0.13 at -240 V dc bias (mean ion energy ≈280 eV) and 1.0.34:0.087 at 0 V dc bias (mean ion energy ≈40 eV), at XPS (XPS) binding energies of 100.2, 101.2 and 102.3 eV, resp. Moreover, there is a substantial amt. of disordered Si within the chlorinated layer at high ion energy, reflected in a broadening of the 99.4 eV Si peak and the appearance of a shoulder at 98.8 eV, ascribed to Si with a dangling bond. In addn., bulk Si plasmon loss assocd. with the Cl(2p) and Cl(2s) core levels indicate that roughly one-third of the Cl in the near-surface region is surrounded by bulklike Si at the high ion energy. Modeling of the dependence of the relative concn. of Cl on the take-of angle was used to est. the Cl content and thickness of the surface layer. From an inversion of the obsd. take-off angle dependence of the relative Cl and Si XPS signals, depth profiles were derived for the near-surface region. Cl content falls off in a graded fashion, over a depth of about 25 and 13 Å for a mean ion energies of 280 and 40 eV, resp. The Cl areal d. (coverage integrated throughout the layer) increases with increasing mean ion energy from 1.8×1015 Cl/cm2 at 40 eV to 3.5×1015 Cl/cm2 at 280 eV. From a similar inversion of the take-off angle dependence of the SiClx signals, SiCl2 and SiCl3 are found to be largely confined at the top ∼5 Å, while below the surface, disordered Si and SiCl are present.
- 43Butera, R. E.; Suwa, Y.; Hashizume, T.; Weaver, J. H. Adsorbate-mediated step transformations and terrace rearrangement of Si(100)-(2 × 1). Phys. Rev. B 2009, 80 (19), 193307 DOI: 10.1103/physrevb.80.19330743https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXhsFarsbzP&md5=c7a2c22d06cbf57eed0029c2ca9cd0ceAdsorbate-mediated step transformations and terrace rearrangement of Si(100)-(2 × 1)Butera, R. E.; Suwa, Yuji; Hashizume, Tomihiro; Weaver, J. H.Physical Review B: Condensed Matter and Materials Physics (2009), 80 (19), 193307/1-193307/4CODEN: PRBMDO; ISSN:1098-0121. (American Physical Society)Scanning tunneling microscopy and d.-functional theory have been combined to demonstrate structural transformations of steps of Si(100)-(2×1) induced by nondangling bond-terminated Cl adsorbates. We identify a stable, bridge-bonded step adsorption site and show that supersatn. facilitates the population of those sites, leading to rebonded atom etching, step retreat, and extensive terrace rearrangement from the diffusion of resultant at. vacancy lines across the supersatd. surface. Similarities to H-Si(100) are briefly discussed.
- 44Aldao, C. M.; Agrawal, A.; Butera, R. E.; Weaver, J. H. Atomic processes during Cl supersaturation etching of Si(100)-(2 × 1). Phys. Rev. B 2009, 79 (12), 125303 DOI: 10.1103/physrevb.79.12530344https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXktFais74%253D&md5=a7a06f02fb61401910a0bd3aee240f69Atomic processes during Cl supersaturation etching of Si(100)-(2×1)Aldao, C. M.; Agrawal, Abhishek; Butera, R. E.; Weaver, J. H.Physical Review B: Condensed Matter and Materials Physics (2009), 79 (12), 125303/1-125303/5CODEN: PRBMDO; ISSN:1098-0121. (American Physical Society)Supersatn. etching starts with Cl insertion into Si-Si bonds of Si(100) and leads to the desorption of SiCl2 pairs. During etching, insertion occurs through a Cl2 dissociative chemisorption process mediated by single dangling bond sites created by phonon-activated electron-stimulated desorption of at. Cl. Based on scanning tunneling microscopy results, the authors identify a surface species, describe its involvement in supersatn. etching, and explore the energetics that control this process. In doing so, insertion occurs at room temp. and paired dangling bonds of bare dimers also mediate this process.
- 45Biswas, S.; Narasimhan, S. Bromine as a Preferred Etchant for Si Surfaces in the Supersaturation Regime: Insights from Calculations of Atomic Scale Reaction Pathways. J. Phys. Chem. C 2016, 120 (28), 15230– 15234, DOI: 10.1021/acs.jpcc.6b0445045https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhtVymsb3N&md5=58e76fa252240d89318652f684cdf43aBromine as a Preferred Etchant for Si Surfaces in the Supersaturation Regime: Insights from Calculations of Atomic Scale Reaction PathwaysBiswas, Sananda; Narasimhan, ShobhanaJournal of Physical Chemistry C (2016), 120 (28), 15230-15234CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)Etching of semiconductors by halogens is of vital importance in device manuf. A greater understanding of the relevant processes at the atomistic level can help det. optimal conditions for etching to be carried out. Supersatn. etching is a seemingly counterintuitive process where the coverage of the etchant mols. on the surface to be etched is >1. Here we use d. functional theory computations of reaction pathways and barriers to suggest that supersatn. etching of Si(001) by Br2 should be more effective than conventional etching by Br2, as well as both conventional and supersatn. etching by Cl2. Anal. of our results shows that this is due in part to the larger size of bromine atoms, and partly due to Br-Si bonds being weaker than Cl-Si bonds. We also show that, for both conventional and supersatn. etching, the barrier for the rate-limiting step of desorption of SiX2 units is lower when the halogen X is Br rather than Cl. This contributes to the overall reaction barrier for supersatn. etching being lower for Br2 than for Cl2.
- 46Pavlova, T. V.; Shevlyuga, V. M.; Andryushechkin, B. V.; Eltsov, K. N. Chlorine insertion and manipulation on the Si(100)-2 × 1-Cl surface in the regime of local supersaturation. Phys. Rev. B 2020, 101 (23), 235410 DOI: 10.1103/physrevb.101.23541046https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXhsFeksbfL&md5=60ff4ea5cbc9a3892c6bbd7eba935204Chlorine insertion and manipulation on the Si(100)-2x1-Cl surface in the regime of local supersaturationPavlova, T. V.; Shevlyuga, V. M.; Andryushechkin, B. V.; Eltsov, K. N.Physical Review B (2020), 101 (23), 235410CODEN: PRBHB7; ISSN:2469-9969. (American Physical Society)We insert and manipulate a single chlorine atom in chlorine monolayer on a Si(100)-2x1 surface using a scanning tunneling microscope. Two objects were created-a Cl atom in a groove between two dimer rows, and bridge-bonded Cl on a silicon dimer. Changing the voltage polarity leads to conversion of the objects into each other. Anisotropic movement of the objects at 77 K is mediated by two different diffusion mechanisms: hopping and crowdion-like motion. Insertion of a Cl atom in a groove between two dimer rows leads to the formation of a dangling bond on a third-layer Si atom. At pos. sample voltage bias, the first object is pos. charged while the second object can be neutral or neg. charged depending on silicon sample doping.
- 47Rivillon, S.; Chabal, Y. J.; Webb, L. J.; Michalak, D. J.; Lewis, N. S.; Halls, M. D.; Raghavachari, K. Chlorination of hydrogen-terminated silicon(111) surfaces. J. Vac. Sci. Technol., A 2005, 23 (4), 1100– 1106, DOI: 10.1116/1.186194147https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2MXmt1OgtLs%253D&md5=750368823954803f537fdd05eefe96efChlorination of hydrogen-terminated silicon (111) surfacesRivillon, Sandrine; Chabal, Yves J.; Webb, Lauren J.; Michalak, David J.; Lewis, Nathan S.; Halls, Mathew D.; Raghavachari, KrishnanJournal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2005), 23 (4), 1100-1106CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)IR absorption spectroscopy was used to investigate the chlorination of H-terminated Si(111) surfaces by 3 different methods: (a) exposure to a satd. soln. of PCl5 in chlorobenzene; (b) exposure to Cl gas, Cl2(g), and (c) exposure to Cl2(g) under UV illumination. XPS and first principles model (clusters) calcns. were used to explore the structure and dynamics of these surfaces. The IR spectra exhibited sharp Cl-related vibrations at 586 and 527 cm-1. The narrow full width at half max. of these vibrations for all 3 prepn. methods indicated that all functionalization schemes produced a nearly complete monolayer of Cl with little surface roughening or introduction of step edges. The 527 cm-1 mode was at a much higher frequency than might be expected for the bending vibration of Si monochloride. Theor. calcns. show, however, that this vibration involves the displacement of the top Si atom parallel to the surface, subject to a relatively stiff potential, shifting its frequency to a value fairly close to that of the Si-Cl stretching mode on a Si(111) surface.
- 48Solares, S. D.; Yu, H. B.; Webb, L. J.; Lewis, N. S.; Heath, J. R.; Goddard, W. A. Chlorination-methylation of the hydrogen-terminated silicon(111) surface can induce a stacking fault in the presence of etch pits. J. Am. Chem. Soc. 2006, 128 (12), 3850– 3851, DOI: 10.1021/ja055408g48https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XhvF2is7k%253D&md5=67cc900d82b1bcbe5b378dc881ee04c5Chlorination-Methylation of the Hydrogen-Terminated Silicon(111) Surface Can Induce a Stacking Fault in the Presence of Etch PitsSolares, Santiago D.; Yu, Hongbin; Webb, Lauren J.; Lewis, Nathan S.; Heath, James R.; Goddard, William A., IIIJournal of the American Chemical Society (2006), 128 (12), 3850-3851CODEN: JACSAT; ISSN:0002-7863. (American Chemical Society)Recently, we reported STM images of the methylated Si(111) surface [prepd. through chlorination-alkylation of the Si(111)-H surface] taken at 4.7 K, indicating that the torsion angle of the Me group with respect to the subsurface silicon layer is φ = 23 ± 3°. Repulsions between H atoms in adjacent Me groups are minimized at 30°, while repulsions between H atoms and second layer Si atoms are minimized at 60°. The exptl. result of 23° is surprising because it suggests a tendency of the Me group toward the eclipsed configuration (0°) rather than staggered (60°). In contrast, extensive fully periodic quantum mech. D. Functional Theory studies of this surface give an equil. torsion angle of 37.5°, indicating a tendency toward the staggered configuration. This discrepancy can be resolved by showing that the CH3 on the step edges and etch pits interacts repulsively with the CH3 on the surface terraces unless a stacking fault is introduced between the first and second silicon layers of the Si(111)-CH3 surface terraces. We propose that this could occur during the chlorination-alkylation of the Si(111)-H surface. This stacking fault model predicted φ = 22.5° measured with respect to the bulk (corresponding to φ = 37.5° with respect to the second layer Si atoms). This model can be tested by measuring the orientation of the CH3 within the etch pits, which should have φ = 37.5°, or by making a surface without etch pits, which should have φ = 37.5°.
- 49Kornev, A. N. Tris(trimethylsilyl)silyl group in organic, coordination and organometallic chemistry. Russ. Chem. Rev. 2004, 73 (11), 1155– 1180There is no corresponding record for this reference.
- 50Suarez, D.; Laval, G.; Tu, S. M.; Jiang, D.; Robinson, C. L.; Scott, R.; Golding, B. T. Benzylic Brominations with N-Bromosuccinimide in (Trifluoromethyl)benzene. Synthesis 2009, 2009 (11), 1807– 1810, DOI: 10.1055/s-0029-1216793There is no corresponding record for this reference.
- 51Parke, T.; Silva-Quinones, D.; Wang, G. T.; Teplyakov, A. V. The Effect of Surface Terminations on the Initial Stages of TiO2 Deposition on Functionalized Silicon. ChemPhysChem 2023, 24, e202200724 DOI: 10.1002/cphc.20220072451https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3sXpt1ChsQ%253D%253D&md5=045158dfca675ef8a89c62452f2dd902The Effect of Surface Terminations on the Initial Stages of TiO2 Deposition on Functionalized SiliconParke, Tyler; Silva-Quinones, Dhamelyz; Wang, George T.; Teplyakov, Andrew V.ChemPhysChem (2023), 24 (7), e202200724CODEN: CPCHFT; ISSN:1439-4235. (Wiley-VCH Verlag GmbH & Co. KGaA)As at. layer deposition (ALD) emerges as a method to fabricate architectures with at. precision, emphasis is placed on understanding surface reactions and nucleation mechanisms. ALD of titanium dioxide with TiCl4 and water has been used to investigate deposition processes in general, but the effect of surface termination on the initial TiO2 nucleation lacks needed mechanistic insights. This work examines the adsorption of TiCl4 on Cl-, H-, and HO- terminated Si(100) and Si(111) surfaces to elucidate the general role of different surface structures and defect types in manipulating surface reactivity of growth and non-growth substrates. The surface sites and their role in the initial stages of deposition are examd. by XPS and at. force microscopy (AFM). D. functional theory (DFT) computations of the local functionalized silicon surfaces suggest oxygen-contg. defects are primary drivers of selectivity loss on these surfaces.
- 52Bell, J. P.; Cloud, J. E.; Cheng, J. F.; Ngo, C.; Kodambaka, S.; Sellinger, A.; Williams, S. K. R.; Yang, Y. A. N-Bromosuccinimide-based bromination and subsequent functionalization of hydrogen-terminated silicon quantum dots. RSC Adv. 2014, 4 (93), 51105– 51110, DOI: 10.1039/C4RA08477B52https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhs1yhu77I&md5=72b0155cb9e376dd3d3b8af1d6e6758bN-Bromosuccinimide-based bromination and subsequent functionalization of hydrogen-terminated silicon quantum dotsBell, Jacob P.; Cloud, Jacqueline E.; Cheng, Jifang; Ngo, Chilan; Kodambaka, Suneel; Sellinger, Alan; Ratanathanawongs Williams, S. Kim; Yang, YonganRSC Advances (2014), 4 (93), 51105-51110CODEN: RSCACL; ISSN:2046-2069. (Royal Society of Chemistry)We report a mild, effective, room-temp. method for brominating and functionalizing colloidal hydrogen-terminated silicon quantum dots (H-SiQDs) using N-bromosuccinimide (NBS) as the bromination reagent. This post-synthesis bromination overcomes a long-standing challenge of producing emissive SiQDs through the functionalization of directly synthesized halogen-terminated colloidal SiQDs.
- 53Baldwin, R. K.; Pettigrew, K. A.; Ratai, E.; Augustine, M. P.; Kauzlarich, S. M. Solution reduction synthesis of surface stabilized silicon nanoparticles. Chem. Commun. 2002, (17), 1822– 1823, DOI: 10.1039/b205301b53https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD38XmsVOnsb4%253D&md5=aa6481348b400dd01245c131bdbaf995Solution reduction synthesis of surface stabilized silicon nanoparticlesBaldwin, Richard K.; Pettigrew, Katherine A.; Ratai, Eva; Augustine, Matthew P.; Kauzlarich, Susan M.Chemical Communications (Cambridge, United Kingdom) (2002), (17), 1822-1823CODEN: CHCOFS; ISSN:1359-7345. (Royal Society of Chemistry)This paper describes the prepn. of air and moisture stable octanol derivatized cryst. silicon nanoparticles by room temp. sodium naphthalenide redn. of silicon halides.
- 54Zou, J.; Baldwin, R. K.; Pettigrew, K. A.; Kauzlarich, S. M. Solution synthesis of ultrastable luminescent siloxane-coated silicon nanoparticles. Nano Lett. 2004, 4 (7), 1181– 1186, DOI: 10.1021/nl049737354https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2cXksV2rtrw%253D&md5=0cbb357fb1d88558e4ddcc351ea2dd5aSolution Synthesis of Ultrastable Luminescent Siloxane-Coated Silicon NanoparticlesZou, Jing; Baldwin, Richard K.; Pettigrew, Katherine A.; Kauzlarich, Susan M.Nano Letters (2004), 4 (7), 1181-1186CODEN: NALEFD; ISSN:1530-6984. (American Chemical Society)Silicon nanoparticles (NPs) of ∼4.5(1.10) nm from a room-temp. soln. route are terminated by a silanization method for the first time. Energy-selected emission is obsd., consistent with the distribution of sizes obtained by this route. The NPs are photochem. stable in nonpolar org. solvents and when exposed to air/water under ambient conditions for up to 1 yr. The nanoparticles were characterized by TEM, HRTEM, EDX, SAED, FTIR, 1H/13C NMR, UV-vis, and photoluminescence (PL) spectroscopy.
- 55Rogozhina, E. V.; Eckhoff, D. A.; Gratton, E.; Braun, P. V. Carboxyl functionalization of ultrasmall luminescent silicon nanoparticles through thermal hydrosilylation. J. Mater. Chem. 2006, 16 (15), 1421– 1430, DOI: 10.1039/b509868h55https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28Xkt1Gmsb8%253D&md5=2e6853f9cc42fc5ec9b6fa8bacf7c2dbCarboxyl functionalization of ultrasmall luminescent silicon nanoparticles through thermal hydrosilylationRogozhina, Elena V.; Eckhoff, Dean A.; Gratton, Enrico; Braun, Paul V.Journal of Materials Chemistry (2006), 16 (15), 1421-1430CODEN: JMACEP; ISSN:0959-9428. (Royal Society of Chemistry)Here, we report one of the first examples of thermal hydrosilylation between a bi-functional alkene and ultrasmall (∼1 nm) H-passivated silicon nanoparticles (Si-np-H) to prep. strongly luminescent, water stable, carboxyl functionalized nanoparticles (Si-np-COOH). NMR, IR absorption spectroscopy (FTIR), size exclusion chromatog. (SEC), and photoluminescence spectroscopy are used to characterize the Si-np dispersions. Based on the SEC and FTIR data, a reaction scheme is proposed to account for side products formed through a free radical crosslinking mechanism. The Si-np-COOH may find use in applications such as biomol. labeling and biol. imaging.
- 56Dasog, M.; Veinot, J. G. C. Size independent blue luminescence in nitrogen passivated silicon nanocrystals. Phys. Status Solidi A 2012, 209 (10), 1844– 1846, DOI: 10.1002/pssa.20120027356https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38Xht12jsrfO&md5=67af3fdd3e6bf6ddd9c2934c1951936eSize independent blue luminescence in nitrogen passivated silicon nanocrystalsDasog, Mita; Veinot, Jonathan G. C.Physica Status Solidi A: Applications and Materials Science (2012), 209 (10), 1844-1846CODEN: PSSABA; ISSN:1862-6300. (Wiley-VCH Verlag GmbH & Co. KGaA)The photoluminescent properties of allylamine terminated silicon nanocrystals (Si-NCs) are investigated. Chloride surface terminated Si-NCs of different sizes react with allylamine, yielding NCs that exhibit size independent blue luminescent NCs. NCs were characterized using X-ray diffraction, Fourier transformed IR spectroscopy, photoluminescence spectroscopy, and dynamic light scattering.
- 57Lee, J. C.; Bae, Y. H.; Chang, S. K. Efficient α-halogenation of carbonyl compounds by N-bromosuccinimide and N-chlorosuccinimde. Bull. Korean Chem. Soc. 2003, 24 (4), 407– 408, DOI: 10.5012/bkcs.2003.24.4.40757https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXkt1Oht7o%253D&md5=663c05181a74f5f0ae53fb725abf548fEfficient α-halogenation of carbonyl compounds by N-bromosuccinimide and N-chlorosuccinimideLee, Jong Chan; Bae, Yong Hun; Chang, Suk-KyuBulletin of the Korean Chemical Society (2003), 24 (4), 407-408CODEN: BKCSDE; ISSN:0253-2964. (Korean Chemical Society)Arom. ketones and 1,3-dicarbonyl compds. are efficiently α-halogenated by systems contg. N-bromosuccinimide or N-chlorosuccinimide, p-toluenesulfonic acid, and MeCN. The protocol is operationally simple and requires only readily available starting materials.
- 58Bergstrom, M.; Suresh, G.; Naidu, V. R.; Unelius, C. R. Iodosuccinimide (NIS) in Direct Aromatic Iodination. Eur. J. Org. Chem. 2017, 2017 (22), 3234– 3239, DOI: 10.1002/ejoc.201700173There is no corresponding record for this reference.
- 59Zhao, S.; Liu, W. K. Methods for Brominations at Benzylic Positions. Curr. Org. Chem. 2019, 22 (25), 2444– 2459, DOI: 10.2174/1385272822666181031094702There is no corresponding record for this reference.
- 60Bera, S. K.; Mal, P. Mechanochemical-Cascaded C-N Cross-Coupling and Halogenation Using N-Bromo- and N-Chlorosuccinimide as Bifunctional Reagents. J. Org. Chem. 2021, 86 (20), 14144– 14159, DOI: 10.1021/acs.joc.1c0174260https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3MXhvVGnu7bL&md5=d345557026ab3ea7824d9e4f54032592Mechanochemical-Cascaded C-N Cross-Coupling and Halogenation Using N-Bromo- and N-Chlorosuccinimide as Bifunctional ReagentsBera, Shyamal Kanti; Mal, PrasenjitJournal of Organic Chemistry (2021), 86 (20), 14144-14159CODEN: JOCEAH; ISSN:0022-3263. (American Chemical Society)Direct synthesis of halo-substituted phenanthridinone derivs. I [R = Cl, Br, I; R1 = H, 3-Me, 3-F, etc.; R2 = H, 8-Me; R3 = OMe, Ph] was achieved via cascade C-N bond formation and subsequent halogenation reactions of N-halosuccinimides with N-methoxy-[1,1'-biphenyl]-2-carboxamides. During the reactions, NBS/NCS first assisted the oxidative C-N coupling reaction and subsequently promoted a halogenation reaction. Thus, the role of NBS/NCS was established to be bifunctional. This protocol offered a mild, solvent-free, convenient, one-pot synthesis of compds. I.
- 61O’Leary, L. E.; Rose, M. J.; Ding, T. X.; Johansson, E.; Brunschwig, B. S.; Lewis, N. S. Heck Coupling of Olefins to Mixed Methyl/Thienyl Monolayers on Si(111) Surfaces. J. Am. Chem. Soc. 2013, 135 (27), 10081– 10090, DOI: 10.1021/ja402495e61https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXhtVWhu7%252FP&md5=9935ca189b09cf1ade7ac243c9cfb891Heck Coupling of Olefins to Mixed Methyl/Thienyl Monolayers on Si(111) SurfacesO'Leary, Leslie E.; Rose, Michael J.; Ding, Tina X.; Johansson, Erik; Brunschwig, Bruce S.; Lewis, Nathan S.Journal of the American Chemical Society (2013), 135 (27), 10081-10090CODEN: JACSAT; ISSN:0002-7863. (American Chemical Society)The Heck reaction has been used to couple olefins to a Si(111) surface that was functionalized with a mixed monolayer comprised of Me and thienyl groups. The coupling method maintained a conjugated linkage between the surface and the olefinic surface functionality, to allow for facile charge transfer from the silicon surface. While a Si(111) surface terminated only with thienyl groups displayed a surface recombination velocity, S, of 670 ± 190 cm s-1, the mixed CH3/SC4H3-Si(111) surfaces with a coverage of θSC4H3 = 0.15 ± 0.02 displayed a substantially lower value of S = 27 ± 9 cm s-1. Accordingly, CH3/SC4H3-Si(111) surfaces were brominated with N-bromosuccinimide, to produce mixed CH3/SC4H2Br-Si(111) surfaces with coverages of θBr-Si < 0.05. The resulting aryl halide surfaces were activated using [Pd(PPh3)4] as a catalyst. After activation, Pd(II) was selectively coordinated by oxidative addn. to the surface-bound aryl halide. The olefinic substrates 4-fluorostyrene, vinylferrocene, and protoporphyrin IX di-Me ester were then coupled (in DMF at 100°C) to the Pd-contg. functionalized Si surfaces. The porphyrin-modified surface was then metalated with Co, Cu, or Zn. The vinylferrocene-modified Si(111) surface showed a linear dependence of the peak current on scan rate in cyclic voltammetry, indicating that facile electron transfer had been maintained and providing evidence of a robust linkage between the Si surface and the tethered ferrocene. The final Heck-coupled surface exhibited S = 70 cm s-1, indicating that high-quality surfaces could be produced by this multistep synthetic approach for tethering small mols. to silicon photoelectrodes.
- 62Lauerhaas, J. M.; Sailor, M. J. Chemical Modification of the Photoluminescence Quenching of Porous Silicon. Science 1993, 261 (5128), 1567– 1568, DOI: 10.1126/science.261.5128.156762https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3sXmsF2hurg%253D&md5=be301c8ed3d2b0b4ae2b2d32d1bb5d23Chemical modification of the photoluminescence quenching of porous siliconLauerhaas, Jeffrey M.; Sailor, Michael J.Science (Washington, DC, United States) (1993), 261 (5128), 1567-8CODEN: SCIEAS; ISSN:0036-8075.The photoluminescence of porous silicon can be quenched by adsorbates, and the degree of quenching can be tuned by chem. derivatization of the porous silicon surface. Thus, as-prepd. porous silicon has a hydrophobic, hydrogen-terminated surface, and the photoluminescence is strongly quenched by ethanol and weakly quenched by water. Mild chem. oxidn. (iodine followed by hydrolysis) produces a hydrophilic porous silicon surface. Photoluminescence from this hydrophilic material is quenched to a lesser extent by ethanol and to a greater extent by water, relative to the original surface. This demonstrates that the visible luminescence from porous silicon is highly surface-sensitive, and the surface interactions can be tuned by specific chem. transformations.
- 63Silva-Quinones, D.; He, C.; Dwyer, K. J.; Butera, R. E.; Wang, G. T.; Teplyakov, A. V. Reaction of Hydrazine with Solution- and Vacuum-Prepared Selectively Terminated Si(100) Surfaces: Pathways to the Formation of Direct Si-N Bonds. Langmuir 2020, 36 (43), 12866– 12876, DOI: 10.1021/acs.langmuir.0c0208863https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXitV2qtrnJ&md5=3b758b6043bee9997d467ea77a3f4d0eReaction of Hydrazine with Solution- and Vacuum-Prepared Selectively Terminated Si(100) Surfaces: Pathways to the Formation of Direct Si-N BondsSilva-Quinones, Dhamelyz; He, Chuan; Dwyer, Kevin J.; Butera, Robert E.; Wang, George T.; Teplyakov, Andrew V.Langmuir (2020), 36 (43), 12866-12876CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)The reactivity of liq. hydrazine (N2H4) with respect to H-, Cl-, and Br-terminated Si(100) surfaces was investigated to uncover the principles of nitrogen incorporation into the interface. This process has important implications in a wide variety of applications, including semiconductor surface passivation and functionalization, nitride growth, and many others. The use of hydrazine as a precursor allows for reactions that exclude carbon and oxygen, the primary sources of contamination in processing. In this work, the reactivity of N2H4 with H- and Cl-terminated surfaces prepd. by traditional solvent-based methods and with a Br-terminated Si(100) prepd. in ultrahigh vacuum was compared. The reactions were studied with XPS, at. force microscopy, and scanning tunneling microscopy, and the observations were supported by computational investigations. The H-terminated surface led to the highest level of nitrogen incorporation; however, the process proceeds with increasing surface roughness, suggesting possible etching or replacement reactions. In the case of Cl-terminated (predominantly dichloride) and Br-terminated (monobromide) surfaces, the amt. of nitrogen incorporation on both surfaces after the reaction with hydrazine was very similar despite the differences in prepn., initial structure, and chem. compn. D. functional theory was used to propose the possible surface structures and to analyze surface reactivity.
- 64Wagner, C. D.; V N, A.; Kraut-Vass, A.; Allison, J. W.; Powell, C. J.; R, J., Jr. NIST Standard Reference Database 20, Version 3.4.There is no corresponding record for this reference.
- 65Haber, J. A.; Lewis, N. S. Infrared and X-ray photoelectron spectroscopic studies of the reactions of hydrogen-terminated crystalline Si(111) and Si(100) surfaces with Br-2, I-2, and ferrocenium in alcohol solvents. J. Phys. Chem. B 2002, 106 (14), 3639– 3656, DOI: 10.1021/jp010287265https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD38XhvVGrsLY%253D&md5=247a8cd5084e896ad11c0158042d53a7Infrared and X-ray Photoelectron Spectroscopic Studies of the Reactions of Hydrogen-Terminated Crystalline Si(111) and Si(100) Surfaces with Br2, I2, and Ferrocenium in Alcohol SolventsHaber, Joel A.; Lewis, Nathan S.Journal of Physical Chemistry B (2002), 106 (14), 3639-3656CODEN: JPCBFK; ISSN:1089-5647. (American Chemical Society)The reaction chem. of H-terminated cryst. Si(111) and Si(100) surfaces in MeOH, CD3OD, CF3(CH2)3OH, BuOH, and C4D9OD solns. contg. ferrocenium (Fc+)-BF4, I2, or Br2 was monitored using x-ray photoelectron (XP) spectroscopy and IR spectroscopy. Addn. of the 1-electron oxidant Fc+, or addn. of the oxidizing species I2 or Br2, produced diagnostic changes in the IR spectra that clearly indicated formation of surficial Si-OR groups. XPS data confirmed the conclusions of the IR studies. Under the authors' reaction conditions, no detectable reaction occurred without the presence of the oxidant. The data are consistent with oxidative activation of the surficial Si-H bonds toward nucleophilic attack by the alcs. The reaction chem. was generally similar on (111)- and (100)-oriented Si surfaces, although some differences were obsd. in the ratio of reaction products on the two different surface orientations. Alkoxylated surfaces were also prepd. by a two-step process in which the surface was 1st chlorinated and then reacted with LiOCH3, LiOCD3, or LiO(CH2)3CF3. The data indicate that formation of Si-halogen bonding alone is not sufficient to provide a robust correlation between the electronic and chem. properties of such cryst. Si surfaces and that formation of Si-alkoxyl bonds is a common motif for surfaces often used in electronic and electrochem. studies of Si.
- 66Hinckley, A. P.; Driskill, M. M.; Muscat, A. J. Dense Organosilane Monolayer Resist That Directs Highly Selective Atomic Layer Deposition. ACS Appl. Nano Mater. 2020, 3 (4), 3185– 3194, DOI: 10.1021/acsanm.9b0212866https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXksl2rtA%253D%253D&md5=38b95cd372f6e02aad7af93db2497968Dense Organosilane Monolayer Resist That Directs Highly Selective Atomic Layer DepositionHinckley, Adam P.; Driskill, Madison M.; Muscat, Anthony J.ACS Applied Nano Materials (2020), 3 (4), 3185-3194CODEN: AANMF6; ISSN:2574-0970. (American Chemical Society)Organosilane monolayers are part of many process flows in nanoelectronics and biotechnol. because of their versatility. Monolayers that inhibit reactions on silicon/silicon oxide surfaces are needed to create patterns that direct the deposition of mols. and realize some of these applications. Organosilane monolayers on silicon oxide are typically deposited from the liq. phase by repeated deposition and cleaning cycles. Cleaning consists of solvent extn., which removes weakly bound aggregates that physisorb in or on the layer during deposition. Adding a short immersion in an aq. oxidizing base such as Std. Clean 1 (SC-1), which is a particle removal method in semiconductor manufg., reduced the time from 48 to 2 h to deposit an inhibiting monolayer. The SC-1 not only removed agglomerates but also rehydroxylated the siloxane bridges at the interface between the monolayer and the silicon oxide surface based on XPS measurements of the hydroxyl group concn. A line and space pattern in the organosilane monolayer made by conductive at. force microscopy (C-AFM) was used to direct the precursors titanium tetrachloride (TiCl4) and water vapor to deposit titanium dioxide (TiO2) by at. layer deposition (ALD) with a selectivity greater than 0.999. The titanium dioxide lines were about 170 nm wide, 9 nm high, and 20μm long. The monolayer deposition procedure was done in a conventional lab. using the common deposition solvent toluene and could be used to make versatile structures for nanodevice fabrication.
- 67Ott, J.; Pasanen, T. P.; Gadda, A.; Garin, M.; Rosta, K.; Vahanissi, V.; Savin, H. Impact of doping and silicon substrate resistivity on the blistering of atomic-layer-deposited aluminium oxide. Appl. Surf. Sci. 2020, 522, 146400 DOI: 10.1016/j.apsusc.2020.14640067https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXos1SrsLw%253D&md5=08d6e8cd466b96b78c50fa69681eac73Impact of doping and silicon substrate resistivity on the blistering of atomic-layer-deposited aluminum oxideOtt, Jennifer; Pasanen, Toni P.; Gadda, Akiko; Garin, Moises; Rosta, Kawa; Vahanissi, Ville; Savin, HeleApplied Surface Science (2020), 522 (), 146400CODEN: ASUSEE; ISSN:0169-4332. (Elsevier B.V.)Aluminum oxide (Al2O3) thin films grown at low temps. using at. layer deposition (ALD) are known to often suffer from local delamination sites, referred to as "blisters", after post-deposition annealing during device processing. In this work, the authors report their observation that doping of the silicon substrate has an effect on blister formation. The introduction of a highly doped layer by diffusion or implantation is found to significantly reduce blistering, compared to the non-doped regions in the immediate vicinity. Similar behavior is obsd. for both phosphorus and boron doping. Further investigation of this phenomenon using substrates with different resistivities reveals that even when introduced already during silicon crystal growth, doping affects the blistering of aluminum oxide films. Changes in several properties of silicon affected by doping, most importantly surface terminating groups, native oxide growth, and passivation of defects with hydrogen, are discussed as potential reasons behind the obsd. effect on blistering.
- 68Hashemi, F. S. M.; Bent, S. F. Sequential Regeneration of Self-Assembled Monolayers for Highly Selective Atomic Layer Deposition. Adv. Mater. Interfaces 2016, 3 (21), 1600464 DOI: 10.1002/admi.201600464There is no corresponding record for this reference.
- 69Mameli, A.; Merkx, M. J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. M. M.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano 2017, 11 (9), 9303– 9311, DOI: 10.1021/acsnano.7b0470169https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVWhtrrM&md5=76c5f8a015a87d3aa07092d2c7188f97Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycleMameli, Alfredo; Merkx, Marc J. M.; Karasulu, Bora; Roozeboom, Fred; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.ACS Nano (2017), 11 (9), 9303-9311CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Area-selective at. layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor mols. in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform IR spectroscopy expts. and d. functional theory calcns. underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor mols.
- 70Hinckley, A. P.; Muscat, A. J. Detecting and Removing Defects in Organosilane Self-Assembled Monolayers. Langmuir 2020, 36 (10), 2563– 2573, DOI: 10.1021/acs.langmuir.9b0275370https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXjvVGnsr4%253D&md5=5898d209bf65dcf84e4a5ee02d2cfd17Detecting and Removing Defects in Organosilane Self-Assembled MonolayersHinckley, Adam P.; Muscat, Anthony J.Langmuir (2020), 36 (10), 2563-2573CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)Defects occur as self-assembled monolayers form, and the no. and type of defects depend on the surface prepn. and deposition solvent, among other parameters. Indirect measures to detect defects using a layer property, such as the thickness or bond vibrational frequency, are used routinely for process development but often lack sensitivity. Direct measures using an at. probe offer a glimpse of defect structures but over a small fraction of the layer. Direct detection after reacting defects by etching or deposition is more common, and this approach has advanced our understanding of how monolayers form and has led to improved monolayers for a variety of applications. Here we show that a series of TiCl4 gas pulses reacts with defects in organosilane layers on SiO2 depositing TiO, which was measured by XPS. The defects were silanol groups and siloxane bridge bonds at the interface between the layer and the SiO2 surface and on agglomerates physisorbed to the layer. As the TiO satn. coverage or the total no. of defects decreased, the incubation period in which no TiO was detected became longer. Cleaning the layer by solvent extn. to remove nonpolar agglomerates followed by an aq. mixt. of ammonium hydroxide and hydrogen peroxide, which is Std. Clean 1, a common particle removal step for silicon surfaces, produced an organosilane monolayer without agglomerates based on at. force microscopy. After a second organosilane immersion, the monolayer d. rose to 3.8 mols./nm2. This monolayer inhibited the deposition of TiO on the SiO2 surface for 250 pulses of TiCl4 and 200 complete TiO2 at. layer deposition cycles using TiCl4 and water vapor, and it failed at 300 complete cycles. The Std. Clean 1 soln. not only removed defects left by solvent extn. but also led to the reorganization of the organosilane layer.
- 71Ulman, A. Formation and structure of self-assembled monolayers. Chem. Rev. 1996, 96 (4), 1533– 1554, DOI: 10.1021/cr950235771https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK28Xjt1Kntr4%253D&md5=a129aad80377c7babb9e8dd6cc9427b2Formation and Structure of Self-Assembled MonolayersUlman, AbrahamChemical Reviews (Washington, D. C.) (1996), 96 (4), 1533-1554CODEN: CHREAY; ISSN:0009-2665. (American Chemical Society)A review on the organization of complex, semiflexible org. mols. within quasi-2-D assemblies due to the delicate interplay between substrate-adsorbate interactions, nonbonded interactions between adsorbates, electrostatic and VDW forces, and intramol. interactions (e.g., bond stretches, angle bends, and torsions). Surface reorganization contributes to the final equil. structure of the assembly. Structural factors controlling the formation of self-assembled monolayers (SAMs) are discussed. Different SAMs with unique properties and potential applications are considered. An attempt is made to provide a general picture of self-assembly on solid surfaces as it emerges from a consideration of the interplay of different forces that control this process. 273 Refs.
- 72Hutchins, D. O.; Acton, O.; Weidner, T.; Cernetic, N.; Baio, J. E.; Ting, G.; Castner, D. G.; Ma, H.; Jen, A. K. Y. Spin cast self-assembled monolayer field effect transistors. Org. Electron. 2012, 13 (3), 464– 468, DOI: 10.1016/j.orgel.2011.11.02572https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XitFClt7Y%253D&md5=90fe25a69ce975f9039db5fc65e05073Spin cast self-assembled monolayer field effect transistorsHutchins, Daniel O.; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E.; Ting, Guy; Castner, David G.; Ma, Hong; Jen, Alex K.-Y.Organic Electronics (2012), 13 (3), 464-468CODEN: OERLAU; ISSN:1566-1199. (Elsevier B.V.)Top-contact self-assembled monolayer field-effect transistors (SAMFETs) were fabricated through both spin-coating and soln. assembly of a semiconducting phosphonic acid-based mol. (11-(5''''-butyl-[2,2';5',2'';5'',2''';5''',2'''']quinquethiophen-5-yl)undecylphosphonic acid) (BQT-PA). The field-effect mobilities of both spin-cast and soln. assembled SAMFETs were 1.1-8.0 × 10-6 cm2 V-1 s-1 for a wide range of channel lengths (between 12-80 μm). The mol. monolayers were characterized by AFM, attenuated total reflectance-Fourier transform IR spectroscopy (ATR-FTIR), and NEXAFS spectroscopy. The BQT-PA monolayer films exhibit dense surface coverage, bidentate binding, and tilt angles of ∼32° and ∼44° for the thiophene rings and alkyl chain, resp. These results indicate that rapid throughput of fabricating SAMFETs is possible even by spin-coating.
- 73Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9 (9), 8651– 8654, DOI: 10.1021/acsnano.5b0524973https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhsVKlsL3P&md5=a72e3e4f8cc06ec52628c4bbfefd5866Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart PositioningFang, Ming; Ho, Johnny C.ACS Nano (2015), 9 (9), 8651-8654CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. Transistors have already been made three-dimensional (3D), with device channels (i.e., fins in trigate field-effect transistor (FinFET) technol.) that are taller, thinner, and closer together to enhance device performance and lower active power consumption. As device scaling continues, these transistors will require more advanced, fabrication-enabling technologies for the conformal deposition of high-κ dielec. layers on their 3-dimensional channels with accurate position alignment and thickness control down to the subnanometer scale. Among many competing techniques, area-selective at. layer deposition (AS-ALD) is a promising method that is well suited to the requirements without the use of complicated, complementary metal-oxide semiconductor (CMOS)-incompatible processes. However, further progress is limited by poor area selectivity for thicker films formed via a higher no. of ALD cycles as well as the prolonged processing time. In this issue of ACS Nano, Professor Stacy Bent and her research group demonstrate a straightforward self-correcting ALD approach, combining selective deposition with a postprocess mild chem. etching, which enables selective deposition of dielec. films with thicknesses and processing times at least 10 times larger and 48 times shorter, resp., than those obtained by conventional AS-ALD processes. These advances present an important technol. breakthrough that may drive the AS-ALD technique a step closer toward industrial applications in electronics, catalysis, and photonics, etc. where more efficient device fabrication processes are needed.
- 74Longo, R. C.; McDonnell, S.; Dick, D.; Wallace, R. M.; Chabal, Y. J.; Owen, J. H. G.; Ballard, J. B.; Randall, J. N.; Cho, K. Selectivity of metal oxide atomic layer deposition on hydrogen terminated and oxidized Si(001)-(2 × 1) surface. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2014, 32 (3), 03D112 DOI: 10.1116/1.4864619There is no corresponding record for this reference.
- 75Montaño-Miranda, G.; Muscat, A. Etching of silicon dioxide with gas phase HF and water:: Initiation, bulk etching, and termination. Solid State Phenom. 2008, 134, 3– 6, DOI: 10.4028/www.scientific.net/ssp.134.375https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1cXhtlWgt7s%253D&md5=7042e2017c6982f16beece216c25727aEtching of silicon dioxide with gas phase HF and water: initiation, bulk etching, and terminationMontano-Miranda, Gerardo; Muscat, AnthonyDiffusion and Defect Data--Solid State Data, Pt. B: Solid State Phenomena (2008), 134 (Ultra Clean Processing of Semiconductor Surfaces VIII), 3-6CODEN: DDBPE8; ISSN:1012-0394. (Trans Tech Publications Ltd.)The SiO2 etching process was studied to explain the initiation mechanism and reaction kinetics of the SiO2 etching process and the surface termination on the resulting Si surface. The data showed that the induction time can be defined as the time required for a sufficient no. of HF/water clusters to adsorb on the SiO2 surface and produce the first SiF4 mols. Also, it was revealed that the etching rates are almost two orders of magnitude lower than those achieved with a detectable water layer. Furthermore, a gas/solid reaction without a liq. water layer may be advantageous for etching metal oxide films on high mobility semiconductor surfaces with narrow band gaps, which are prone to oxidn. in the presence of water.
- 76Garín, M.; Khoury, R.; Martin, I.; Johnson, E. V. Direct etching at the nanoscale through nanoparticle-directed capillary condensation. Nanoscale 2020, 12 (16), 9240– 9245, DOI: 10.1039/C9NR10217E76https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BB3cXls1Gnur8%253D&md5=ded0d70f2ded597476356e7492f490b5Direct etching at the nanoscale through nanoparticle-directed capillary condensationGarin, M.; Khoury, R.; Martin, I.; Johnson, E. V.Nanoscale (2020), 12 (16), 9240-9245CODEN: NANOHL; ISSN:2040-3372. (Royal Society of Chemistry)We report a method to locally deliver a chem. etchant at the nanoscale in the vapor phase by capillary condensation forming a meniscus at the nanoparticle/substrate interface. The process is simple, scalable and does not require functionalization of the nanoparticles. Furthermore, it does not rely on any specific chem. properties of the materials other than the soln. being aq. and the wettability properties of the surfaces involved, which should enable its application to other material and chem. combinations. In particular, in this work we demonstrate the proposed process by periodically pattering a SiO2 layer using a self-assembled monolayer of polystyrene particles exposed to HF vapors. The patterned SiO2 layer is then used as a mask to etch a pattern of inverted nanopyramids on Si. The silicon nanopatterning has been demonstrated for particles sizes ranging from 800 nm down to 100 nm, providing pyramids with a size down to 50 nm for 100 nm nanoparticles.
- 77Salimian, S.; Delfino, M. Removal of Native Silicon-Oxide with Low-Energy Argon Ions. J. Appl. Phys. 1991, 70 (7), 3970– 3972, DOI: 10.1063/1.34916077https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3MXmsVGmu70%253D&md5=73d8b80223c075ae8451b85a200fa633Removal of native silicon oxide with low-energy argon ionsSalimian, S.; Delfino, M.Journal of Applied Physics (1991), 70 (7), 3970-2CODEN: JAPIAU; ISSN:0021-8979.Electron cyclotron resonance argon plasmas have been used to clean native silicon oxide at low (≈100 eV) ion energies. There is complete and rapid removal of chem. bound O to Si and the presence of small levels of adsorbed or interstitially implanted O.
- 78Metzler, D.; Li, C.; Lai, C. S.; Hudson, E. A.; Oehrlein, G. S. Investigation of thin oxide layer removal from Si substrates using an SiO atomic layer etching approach: the importance of the reactivity of the substrate. J. Phys. D: Appl. Phys. 2017, 50 (25), 254006 DOI: 10.1088/1361-6463/aa71f178https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhvFCgurvK&md5=db889895811a25dae801cf9d073dddf4Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrateMetzler, Dominik; Li, Chen; Lai, C. Steven; Hudson, Eric A.; Oehrlein, Gottlieb S.Journal of Physics D: Applied Physics (2017), 50 (25), 254006/1-254006/9CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)The evaluation of a plasma-based at. layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chem. was analyzed by XPS. The cyclic ALE approach when used for removal of native oxide SiO2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidn. of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H2/Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. A generic insight of this work is the importance of the substrate and final surface chem. in addn. to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO2 layer.
- 79Hennessy, J.; Moore, C. S.; Balasubramanian, K.; Jewell, A. D.; France, K.; Nikzad, S. Enhanced atomic layer etching of native aluminum oxide for ultraviolet optical applications. J. Vac. Sci. Technol., A 2017, 35 (4), 041512 DOI: 10.1116/1.498694579https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhtVeqsrrI&md5=1b9a466baa048fc220e4513f88420507Enhanced atomic layer etching of native aluminum oxide for ultraviolet optical applicationsHennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; France, Kevin; Nikzad, ShoulehJournal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2017), 35 (4), 041512/1-041512/9CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)The authors report on the development and application of an at. layer etching (ALE) procedure based on alternating exposures of trimethylaluminum and anhyd. hydrogen fluoride (HF) implemented to controllably etch aluminum oxide. The ALE process utilizes the same chem. previously demonstrated in the at. layer deposition of aluminum fluoride thin films, and can therefore be exploited to remove the surface oxide from metallic aluminum and replace it with thin fluoride layers in order to improve the performance of UV aluminum mirrors. This ALE process is modified relative to existing methods through the use of a chamber conditioning film of lithium fluoride, which is shown to enhance the loss of fluorine surface species and results in conformal layer-by-layer etching of aluminum oxide films. Etch properties were explored over a temp. range of 225-300 °C with the Al2O3 etch rate increasing from 0.8 to 1.2 Å per ALE cycle at a fixed HF exposure of 60 ms per cycle. The effective etch rate has a dependence on the total HF exposure, but the process is shown to be scalable to large area substrates with a postetch uniformity of better than 2% demonstrated on 125 mm diam. wafers. The efficacy of the ALE process in reducing interfacial native aluminum oxide on evapd. aluminum mirrors is demonstrated using characterization by XPS and measurements of UV reflectance at wavelengths down to 120 nm. (c) 2017 American Institute of Physics.
- 80Briggs, D.; Wootton, A. B. Analysis of Polymer Surfaces by Sims. 1. An Investigation of Practical Problems. Surf. Interface Anal. 1982, 4 (3), 109– 115, DOI: 10.1002/sia.74004030680https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaL3sXitFKnsb0%253D&md5=a9092a4ec77396cf138a10bf2cb96c93Analysis of polymer surfaces by SIMS. 1. An investigation of practical problemsBriggs, D.; Wootton, A. B.Surface and Interface Analysis (1982), 4 (3), 109-15CODEN: SIANDQ; ISSN:0142-2421.XPS was used simultaneously with secondary ion mass spectroscopy (SIMS) in an attempt to sep. the effects of surface drift potential and ion beam damage on relative peak intensity changes with time in surface anal. of polymers. SIMS studies of polystyrene [9003-53-6], polytetrafluoroethylene [9002-84-0] and paraffin wax (as a model compd.) were reported.
- 81Shircliff, R. A.; Stradins, P.; Moutinho, H.; Fennell, J.; Ghirardi, M. L.; Cowley, S. W.; Branz, H. M.; Martin, I. T. Angle-Resolved XPS Analysis and Characterization of Mono layer and Multi layer Si lane Films for DNA Coupling to Silica. Langmuir 2013, 29 (12), 4057– 4067, DOI: 10.1021/la304719y81https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXjt1Wls7k%253D&md5=ae1cc8012315809dd7e64b80bef168c8Angle-Resolved XPS Analysis and Characterization of Monolayer and Multilayer Silane Films for DNA Coupling to SilicaShircliff, Rebecca A.; Stradins, Paul; Moutinho, Helio; Fennell, John; Ghirardi, Maria L.; Cowley, Scott W.; Branz, Howard M.; Martin, Ina T.Langmuir (2013), 29 (12), 4057-4067CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)The authors measure silane d. and Sulfo-EMCS crosslinker coupling efficiency on aminosilane films by high-resoln. XPS and at. force microscopy (AFM) measurements. The authors then characterize DNA immobilization and hybridization on these films by 32P-radiometry. The silane film structure controls the efficiency of the subsequent steps toward DNA hybridization. A self-limited silane monolayer produced from 3-aminopropyldimethylethoxysilane (APDMES) provides a silane surface d. of ∼3 nm-2. Thin (1 h deposition) and thick (19 h deposition) multilayer films were generated from 3-aminopropyltriethoxysilane (APTES), resulting in surfaces with increased roughness compared to the APDMES monolayer. Increased silane surface d. is estd. for the 19 h APTES film, due to a ∼ 32% increase in surface area compared to the APDMES monolayer. High crosslinker coupling efficiencies were measured for all three silane films. DNA immobilization densities are similar for the APDMES monolayer and 1 h APTES. However, the DNA immobilization d. is double for the 19 h APTES, suggesting that increased surface area allows for a higher probe attachment. The APDMES monolayer has the lowest DNA target d. and hybridization efficiency. This is attributed to the steric hindrance as the random packing limit is approached for DNA double helixes (dsDNA, diam. ≥ 2 nm) on a plane. The heterogeneity and roughness of the APTES films reduce this steric hindrance and allow for tighter packing of DNA double helixes, resulting in higher hybridization densities and efficiencies. The low steric hindrance of the thin, one to two layer APTES film provides the highest hybridization efficiency of nearly 88%, with 0.21 dsDNA/nm2. The XPS data also reveal water on the crosslinker-treated surface that is implicated in device aging.
- 82Lockett, V.; Sedev, R.; Bassell, C.; Ralston, J. Angle-resolved X-ray photoelectron spectroscopy of the surface of imidazolium ionic liquids. Phys. Chem. Chem. Phys. 2008, 10 (9), 1330– 1335, DOI: 10.1039/b713584j82https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1cXitlWls7k%253D&md5=dc64df1dacef29328528122cd2ba6f5bAngle-resolved X-ray photoelectron spectroscopy of the surface of imidazolium ionic liquidsLockett, Vera; Sedev, Rossen; Bassell, Chris; Ralston, JohnPhysical Chemistry Chemical Physics (2008), 10 (9), 1330-1335CODEN: PPCPFQ; ISSN:1463-9076. (Royal Society of Chemistry)The surfaces of 3 imidazolium based ionic liqs. with a common anion were studied with angle-resolved XPS. The room temp. ionic liqs. (RTILs): 1-butyl-3-methylimidazolium (bmim), 1-hexyl-3-methylimidazolium (hmim), and 1-octyl-3-methylimidazolium (omim) tetrafluoroborates, were meticulously purified and dried under vacuum. Survey and high-resoln. spectra were obtained at different take-off angles (0-84°), thus increasing the surface sensitivity of the measurement. No impurities were detected and the survey spectra at normal emission (0°) confirmed the stoichiometric compn. of the liqs. However, the spectra at take-off angles of 60, 70, 80 and 84° indicated a higher amt. of C. High resoln. spectra of C1s, at these angles, showed an increased amt. of aliph. C when compared to the spectra at normal emission. The longer the side chain (R) of the imidazolium cation (Rmim), the larger was the amt. of aliph. C detected. Previous studies with other surface sensitive techniques have yielded contradictory conclusions about the surface orientation of the Rmim. The authors conclude unequivocally that the alkyl chain of the imidazolium ring of the studied RTILs is oriented away from the liq. Study demonstrates the ability of XPS to probe the structure, along with the compn., of the free liq. surface by comparing signals from different penetration depths.
- 83Laibinis, P. E.; Bain, C. D.; Whitesides, G. M. Attenuation of Photoelectrons in Monolayers of Normal-Alkanethiols Adsorbed on Copper, Silver, and Gold. J. Phys. Chem. A 1991, 95 (18), 7017– 7021, DOI: 10.1021/j100171a05483https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3MXlt1Crtb0%253D&md5=f974fcd1a3c39dffdf419e81b26c2bb7Attenuation of photoelectrons in monolayers of n-alkanethiols adsorbed on copper, silver, and goldLaibinis, Paul E.; Bain, Colin D.; Whitesides, George M.Journal of Physical Chemistry (1991), 95 (18), 7017-21CODEN: JPCHAX; ISSN:0022-3654.The attenuation length, λ, of photoelectrons with kinetic energies in the range 500-1500 eV in hydrocarbon films was measured with self-assembled monolayers (SAMs) of n-alkanethiols adsorbed on copper and silver surfaces. This work extends a previous study using SAMs on gold. The following values were detd. for λ: on Ag, 37 Å at 1390 eV, 36 Å at 1119 eV, 35 Å at 1113 eV, 30 Å at 914 eV, 29 Å at 883 eV, and 24 Å at 768 eV; on Cu, 40 Å at 1410 and 1364 eV, 28 Å at 918 eV, and ∼22 Å at 554 eV. Ests. of λ obtained by using SAMs as scattering layers are more consistent than those obtained by using thin org. films fabricated by other methods. The relationship between λ and the kinetic energy (KE) of photoelectrons, over the energy range studied by using SAMs, can be fit equally well by the expressions λ = 9.0 + 0.022KE or λ /idn kEp where p = 0.67 ± 0.11. Bethe's equation for electron scattering in matter also relates λ to KE.
- 84Li, X. Z.; Ma, W. C.; Shestopalov, A. A. Vapor-Phase Carbenylation of Hard and Soft Material Interfaces. Langmuir 2016, 32 (44), 11386– 11394, DOI: 10.1021/acs.langmuir.6b0247184https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhslSisbrF&md5=e9327f968a99837e6d47e932dbeeb4a8Vapor-Phase Carbenylation of Hard and Soft Material InterfacesLi, Xunzhi; Ma, Wenchuan; Shestopalov, Alexander A.Langmuir (2016), 32 (44), 11386-11394CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)This study describes the formation of functional org. monolayers on hard and soft interfaces via a vapor-phase carbene insertion into Si-H and C-H bonds. We demonstrate that functional diazirine mols. can be used to form monomol. coatings on silicon, silicon nitride, and urethane-acrylate polymers under mild vacuum conditions and exposure to UV light. We investigate the mol. coverage and the long-term stability of the resulting monolayers in air, isopropanol, and water. Our results suggest that vapor-phase carbenylation can be used as a complementary technol. to the traditional self-assembly, permitting functionalization of various passivated substrates with stable and functional mol. coatings under mild and scalable conditions.
Supporting Information
Supporting Information
The Supporting Information is available free of charge at https://pubs.acs.org/doi/10.1021/acsami.3c13269.
AFM images of the Si-H and Si-OH substrates, Haber and Lewis’s substrate-overlayer model equation, water contact angle measurement of the halogenated substrates, XPS spectra of all substrates, ARXPS Al2O3 film thickness measurement on the Si-OH substrate, and ellipsometry topographies of the Si-OH substrate (PDF)
Terms & Conditions
Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.