Rapid Synthesis of Nanoporous Conformal Coatings via Plasma-Enhanced Sequential Infiltration of a Polymer TemplateClick to copy article linkArticle link copied!
Abstract
Nanoporous conformal coating is an important class of materials for electrocatalysis, water purification, antireflective coatings, etc. Common synthesis methods of porous films often require harsh conditions (high temperature and high plasma power) or specific substrate materials. Here, we report a plasma-enhanced sequential infiltration synthesis (PE SIS) as a new platform toward deposition of nanoporous inorganic films. PE SIS is based on oxygen-plasma-induced rapid conversion of metal precursors selectively adsorbed in a block-copolymer template. Porosity and thickness of resulting materials can be easily controlled by characteristics of the template. PE SIS is conducted under gentle conditions, and can be applied to a broad range of substrates, including water-sensitive surfaces. PE SIS offers adventurous rapid infiltration with improved ability to obtain highly interconnected porous alumina films with thicknesses up to 5 μm. We show that full infiltration of the polar domain of the polymer template can be achieved upon initial exposure to TMA, followed by its oxygen-plasma-induced conversion into a functional material. Since different types of plasma (such as oxygen, nitrogen, hydrogen, etc.) induce conversion of a broad range of metal precursors, PE SIS opens a new approach for synthesis of highly porous materials with various elemental compositions and stoichiometries.
Introduction
Results and Discussion
Figure 1
Figure 1. Scanning electron microscopy (SEM) images of porous structures (∼50 nm thickness) obtained at different oxygen plasma power exposure times of the nonswelled PS-b-P4VP (75k-b-25k) template infiltrated with TMA to plasma and oxygen pressures. The structures were obtained by five SIS cycles processed as follows: (a) at 3 Torr oxygen, 100 W plasma for 20 s of exposure; (b) at 6 Torr oxygen, 100 W plasma for 60 s of exposure; (c) at 6 Torr oxygen, 200 W plasma for 20 s of exposure; (d) at 6 Torr oxygen, 200 W plasma for 60 s of exposure; (e) at 3 Torr oxygen, 300 W plasma for 20 s of exposure; (f) at 6 Torr oxygen, 300 W plasma for 20 s of exposure.
Figure 2
Figure 2. (a) No swelling FTIR delta absorbance measurements for comparison of the effect of thermal SIS and PE SIS when performed on 50 nm thick PS-b-P4VP (75k-b-25k). All of the spectra are referenced to initial as-spin-coated PS-b-P4VP. (b) Swelling FTIR absorbance measurements for swelling induced modifications in the polymer during thermal SIS and PE SIS. Swelling was performed in ethanol at 70 °C for 1 h.
Figure 3
Figure 3. FTIR delta absorbance measurements for comparison of the effect of water-based thermal SIS and PE SIS when infiltrating 50 nm thick PMMA polymer. All of the spectra are referenced to initial as-spin-coated PMMA.
Figure 4
Figure 4. GISAXS analysis of the nonswelled PS-b-P4VP (75k-b-25k) polymer infiltrated with different numbers of PE SIS cycles (300 W, 20 s of oxygen plasma). GISAXS image of one PE SIS sample (a) and horizontal linecuts (b) of one through five SIS samples are presented. Linecuts are made at qz ∼0.035 Å–1 in (a) and scaled arbitrarily for clarity. No noticeable difference in the resulting films deposited with one, two, three, and five PE SIS cycles is observed. SEM images of the films grown with (c) one cycle and with (d) five cycles are included as well. Porosity of the samples is in the 70–80% range.
Figure 5
Figure 5. SEM images of porous alumina films grown by the PE SIS infiltration (five SIS cycles) of PS-b-P4VP polymers (50 nm thick polymers swelled in ethanol at 70 °C for 1 h) with different volume fraction of polystyrene: (a) 75k-b-25k, (b) 25k-b-25.5k, and (c) 24k-b-51k. The thickness of the resulting alumina films is in the range of 80–100 nm with porosity varying from 30% for 24k-b-51k PS-b-P4VP (∼68:32 concentration of polar/nonpolar domains) polymer to 50 and 70% for 25k-b-25.5k (∼50:50 concentration of polar/nonpolar domains) and 75k-b-25k (25:75 concentration of polar/nonpolar domains) polymers correspondingly.
Figure 6
Figure 6. Thick nanoporous films from PS-b-P4VP (75k-b-25k) polymer. (a) Before complete polymer removal, the film demonstrates a charging effect and thickness of ∼11.1 ± 1.8 μm. (b) After complete removal of the polymer by burning, the porous structure is observed and the resulting thickness of the film is ∼5.6 ± 0.4 μm.
Figure 7
Figure 7. (a) Transmission electron microscopy (TEM) image of cesium lead bromide (CLB) nanoparticles used for photoluminescence tests. (b) Schematic of the protective alumina on top of the NP system is included. (c) Schematic of the highly porous alumina on top of the NP system is included. (d) Photoluminescence data after exposure to humid environment for 5 days for cesium lead bromide perovskite nanoparticles unprotected and covered with alumina deposited by: 100 of PE ALD cycles, 100 of water ALD cycles, and 5 PE SIS of PS-b-P4VP. Results indicate higher photoluminescence response for nanoparticles protected with 100 PE ALD.
Conclusions
Experimental Methods
Sample Preparation
Polymer Swelling
Sequential Infiltration Synthesis
Thermal Annealing of the Polymers
Deposition of Al2O3 on Perovskite Nanoparticles
Characterization
Supporting Information
The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsomega.7b01314.
Rupture of aluminum oxide films during oxygen-plasma-assisted (20 min) polymer removal; dependence of the porosity of synthesized metal oxide films on the polar/nonpolar domain concentration ratio in the swelled PS-b-P4VP polymer; GISAXS data linecut for one PE SIS-deposited aluminum oxide in nonswelled PS-b-p4VP polymer; GISAXS data analysis (PDF)
Terms & Conditions
Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.
Acknowledgment
This work was performed in part at the University of North Texas’s Materials Research Facility. Support from Advanced Materials and Manufacturing Processes Institute (AMMPI) at the University of North Texas is acknowledged. Work at the Center for Nanoscale Materials, Advanced Photon Source, and Electron Microscopy Center was supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences under Contract No. DE-AC0206CH-11357.
References
This article references 42 other publications.
- 1Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. A Route to Nanoscopic Materials via Sequential Infiltration Synthesis on Block Copolymer Templates ACS Nano 2011, 5, 4600– 4606 DOI: 10.1021/nn2003234Google Scholar1https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3MXmsFGhtro%253D&md5=8b9b1aee3295b8fc79b05cf2c5a32d7cA Route to Nanoscopic Materials via Sequential Infiltration Synthesis on Block Copolymer TemplatesPeng, Qing; Tseng, Yu-Chih; Darling, Seth B.; Elam, Jeffrey W.ACS Nano (2011), 5 (6), 4600-4606CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Sequential infiltration synthesis (SIS), combining stepwise mol. assembly reactions with self-assembled block copolymer (BCP) substrates, provides a new strategy to pattern nanoscopic materials in a controllable way. The selective reaction of a metal precursor with one of the pristine BCP domains is the key step in the SIS process. Here we present a straightforward strategy to selectively modify self-assembled polystyrene-block-poly(Me methacrylate) (PS-b-PMMA) BCP thin films to enable the SIS of a variety of materials including SiO2, ZnO, and W. The selective and controlled interaction of tri-Me aluminum with carbonyl groups in the PMMA polymer domains generates Al-CH3/Al-OH sites inside the BCP scaffold which can seed the subsequent growth of a diverse range of materials without requiring complex block copolymer design and synthesis.
- 2Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Czaplewski, D. A.; Elam, J. W.; Darling, S. B. Etch properties of resists modified by sequential infiltration synthesis J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2011, 2906FG01 DOI: 10.1116/1.3640758Google ScholarThere is no corresponding record for this reference.
- 3Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Elam, J. W.; Darling, S. B. Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis J. Phys. Chem. C 2011, 115, 17725– 17729 DOI: 10.1021/jp205532eGoogle Scholar3https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3MXpt1Wltb0%253D&md5=f0470194eba408a8e77ccb8594af53bdEnhanced Block Copolymer Lithography Using Sequential Infiltration SynthesisTseng, Yu-Chih; Peng, Qing; Ocola, Leonidas E.; Elam, Jeffrey W.; Darling, Seth B.Journal of Physical Chemistry C (2011), 115 (36), 17725-17729CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)Block copolymer lithog. is a promising approach to massively parallel, high-resoln., and low-cost patterning, but the inherently low etch resistance of polymers has limited its applicability to date. In this work, this challenge is overcome by dramatically increasing the plasma etch contrast of polystyrene-block-poly(Me methacrylate) (PS-b-PMMA) using spatially localized sequential infiltration synthesis (SIS) of alumina. The PMMA phase of self-assembled PS-b-PMMA block copolymer thin films was selectively infiltrated with alumina yielding an inorg. nanostructure mimicking the original block copolymer template that serves directly as a robust etch mask. SIS-modified films are resistant to a variety of plasma etching chemistries enabling the direct patterning of a range of substrates, including silicon, indium tin oxide, and permalloy, without the need for intermediate hard mask layers. This method considerably simplifies the fabrication of nanostructures in technol. relevant materials over large areas with improved transfer fidelity and pushes block copolymer lithog. closer to practical use.
- 4Ding, S.-J.; Wang, P.-F.; Wan, X.-G.; Zhang, D. W.; Wang, J.-T.; Lee, W. W. Effects of thermal treatment on porous amorphous fluoropolymer film with a low dielectric constant Mater. Sci. Eng., B 2001, 83, 130– 136 DOI: 10.1016/S0921-5107(01)00504-9Google Scholar4https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXktV2mu7k%253D&md5=55c410f8fecc3f75d4f2633e055abba7Effects of thermal treatment on porous amorphous fluoropolymer film with a low dielectric constantDing, S.-J.; Wang, P.-F.; Wan, X.-G.; Zhang, D. W.; Wang, J.-T.; Lee, W. W.Materials Science & Engineering, B: Solid-State Materials for Advanced Technology (2001), B83 (1-3), 130-136CODEN: MSBTEK; ISSN:0921-5107. (Elsevier Science S.A.)Amorphous fluoropolymer (AF) thin films have been prepd. from Teflon AF 1600 soln. by spin-coating. Scanning electron micrograph (SEM) observations reveal that the film has planar and compact surface without any pinhole, and there are many pores in the matrix. By capacitance-voltage (C-V) and current-voltage (I-V) measurements, the dielec. const. of the AF film is equal to 1.57 at 1 MHz, and breakdown strength is 2.07 MV cm-1. The Fourier transform IR spectroscopy (FTIR) spectra and X-ray diffraction (XRD) patterns of the films show that the films have excellent thermal stability below 400°C, and thermal treatment does not change amorphous nature of the films. XPS spectra reveal decompn. of CF3 groups due to annealing at 400°C, leading to a remarkable increase in CF2 groups. Possible decompn. mechanisms of AF film are also discussed.
- 5Kim, J. K.; Yang, S. Y.; Lee, Y.; Kim, Y. Functional nanomaterials based on block copolymer self-assembly Prog. Polym. Sci. 2010, 35, 1325– 1349 DOI: 10.1016/j.progpolymsci.2010.06.002Google Scholar5https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXhtlens7vF&md5=87e3340a96949eaba37274e7821ceef4Functional nanomaterials based on block copolymer self-assemblyKim, Jin Kon; Yang, Seung Yun; Lee, Youngmin; Kim, YoungsukProgress in Polymer Science (2010), 35 (11), 1325-1349CODEN: PRPSB8; ISSN:0079-6700. (Elsevier Ltd.)A review. Block copolymers have received considerable attention as a promising platform for the synthesis of nanomaterials and fabrication of nanostructures because of their self-assembling nature to form periodically ordered structures in the nanometer-scale range. By controlling the compn. and architecture of individual block components, a variety of nanoscale morphologies can be obtained. After a brief overview of the phase behavior of block copolymers, we highlight recent advances in the fabrication of various functional nanomaterials based on block copolymer of self-assembly and their potential applications. Future perspectives on block copolymers are briefly mentioned.
- 6Qi, Z.-M.; Honma, I.; Zhou, H. Nanoporous leaky waveguide based chemical and biological sensors with broadband spectroscopy Appl. Phys. Lett. 2007, 90011102 DOI: 10.1063/1.2424643Google ScholarThere is no corresponding record for this reference.
- 7Buskens, P.; Burghoorn, M.; Mourad, M. C. D.; Vroon, Z. Antireflective Coatings for Glass and Transparent Polymers Langmuir 2016, 32, 6781– 6793 DOI: 10.1021/acs.langmuir.6b00428Google Scholar7https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XotFemsr0%253D&md5=438f949fdfeb9f9afd52debce1726b64Antireflective Coatings for Glass and Transparent PolymersBuskens, Pascal; Burghoorn, Marieke; Mourad, Maurice Christian Danho; Vroon, ZegerLangmuir (2016), 32 (27), 6781-6793CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)Antireflective coatings (ARCs) are applied to reduce surface reflections. We review coatings that reduce the reflection of the surface of the transparent substrates float glass, polyethylene terephthalate, poly(Me methacrylate), and polycarbonate. Three main coating concepts exist to lower the reflection at the interface of a transparent substrate and air: multilayer interference coatings, graded index coatings, and quarter-wave coatings. We introduce and discuss these three concepts, and zoom in on porous quarter-wave coatings comprising colloidal particles. We extensively discuss the four routes for introducing porosity in quarter-wave coatings through the use of colloidal particles, which have the highest potential for application: (1) packing of dense nanospheres, (2) integration of voids through hollow nanospheres, (3) integration of voids through sacrificial particle templates, and (4) packing of nonspherical nanoparticles. Finally, we address the remaining challenges in the field of ARCs, and elaborate on potential strategies for future research in this area.
- 8Guldin, S.; Kohn, P.; Stefik, M.; Song, J.; Divitini, G.; Ecarla, F.; Ducati, C.; Wiesner, U.; Steiner, U. Self-Cleaning Antireflective Optical Coatings Nano Lett. 2013, 13, 5329– 5335 DOI: 10.1021/nl402832uGoogle Scholar8https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXhs1WltrbK&md5=1024204a028d98146322a44f711918b5Self-Cleaning Antireflective Optical CoatingsGuldin, Stefan; Kohn, Peter; Stefik, Morgan; Song, Juho; Divitini, Giorgio; Ecarla, Fanny; Ducati, Caterina; Wiesner, Ulrich; Steiner, UllrichNano Letters (2013), 13 (11), 5329-5335CODEN: NALEFD; ISSN:1530-6984. (American Chemical Society)Low-cost antireflection coatings (ARCs) on large optical surfaces are an ingredient-technol. for high-performance solar cells. While nanoporous thin films that meet the zero-reflectance conditions on transparent substrates can be cheaply manufd., their suitability for outdoor applications is limited by the lack of robustness and clean-ability. Here, the authors present a simple method for the manuf. of robust self-cleaning ARCs. The authors strategy relies on the self-assembly of a block-copolymer in combination with silica-based sol-gel chem. and preformed TiO2 nanocrystals. The spontaneous dense packing of copolymer micelles followed by a condensation reaction results in an inverse opal-type silica morphol. that is loaded with TiO2 photocatalytic hot-spots. The very low vol. fraction of the inorg. network allows the optimization of the antireflecting properties of the porous ARC despite the high refractive index of the embedded photocatalytic TiO2 nanocrystals. The resulting ARCs combine high optical and self-cleaning performance and can be deposited onto flexible plastic substrates.
- 9Kennedy, S. R.; Brett, M. J. Porous broadband antireflection coating by glancing angle deposition Appl. Opt. 2003, 42, 4573– 4579 DOI: 10.1364/AO.42.004573Google Scholar9https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A280%3ADC%252BD3szotVKmug%253D%253D&md5=a4b335f00463df10e3d0b4951cf043b4Porous broadband antireflection coating by glancing angle depositionKennedy Scott R; Brett Michael JApplied optics (2003), 42 (22), 4573-9 ISSN:1559-128X.We deposited graded-index SiO2 films using glancing angle deposition to produce high-transmission antireflection coatings on glass. Because of the accurate control over the thin-film microstructure provided by this technique, we were able to create graded densities with a Gaussian profile resulting in transmission values greater than 99.9% for a single-layer interface with bandwidths up to 460 nm. The graded-index layer also provides low reflectance at nonnormal angles of incidence with transmission values degrading little for incidence angles up to 30 degrees.
- 10Kumeria, T.; Santos, A.; Rahman, M. M.; Ferre-Borrull, J.; Marsal, L. F.; Losic, D. Advanced Structural Engineering of Nanoporous Photonic Structures: Tailoring Nanopore Architecture to Enhance Sensing Properties ACS Photonics 2014, 1, 1298– 1306 DOI: 10.1021/ph500316uGoogle Scholar10https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhvFKqtb%252FI&md5=3dcb2d31f90aa87ede7ad62538c1ea27Advanced Structural Engineering of Nanoporous Photonic Structures: Tailoring Nanopore Architecture to Enhance Sensing PropertiesKumeria, Tushar; Santos, Abel; Rahman, Mohammad Mahbubur; Ferre-Borrull, Josep; Marsal, Lluis F.; Losic, DusanACS Photonics (2014), 1 (12), 1298-1306CODEN: APCHD5; ISSN:2330-4022. (American Chemical Society)In this study, we demonstrate that an optimal design of the pore geometry and shape of sensing platforms based on nanoporous anodic alumina (NAA) photonic structures is crit. to develop optical sensors with improved capabilities. To this end, two types of NAA photonic structures featuring different pore geometries (i.e., pore lengths and diams.) and shapes (i.e., straight and modulated pores) were produced, and their optical characteristics were assessed systematically by reflectometric interference spectroscopy. The geometric features (i.e., pore lengths, diams., and shapes) were systematically modified to establish the optimization paths for the sensitivity, low limit of detection, and linearity of these optical sensing platforms. The obtained results reveal that an optimal design of these nanoporous photonic structures can enhance their sensitivity, achieve a lower limit of detection, and improve their linearity for both nonspecific and specific detection of analytes. Therefore, as this study demonstrates, the rational design of optical nanoporous sensing platforms is crit. in the development of reliable, sensitive, robust, inexpensive, and portable optical systems for a broad range of sensing applications.
- 11Ju, H.; Zhang, X.; Wang, J. Biosensors Based on Nanoporous Materials. In NanoBiosensing: Principles, Development and Application; Springer: New York, NY, 2011; pp 171– 205.Google ScholarThere is no corresponding record for this reference.
- 12Broom, D. P.; Webb, C. J.; Hurst, K. E.; Parilla, P. A.; Gennett, T.; Brown, C. M.; Zacharia, R.; Tylianakis, E.; Klontzas, E.; Froudakis, G. E.; Steriotis, T. A.; Trikalitis, P. N.; Anton, D. L.; Hardy, B.; Tamburello, D.; Corgnale, C.; van Hassel, B. A.; Cossement, D.; Chahine, R.; Hirscher, M. Outlook and challenges for hydrogen storage in nanoporous materials Appl. Phys. A 2016, 122, 151 DOI: 10.1007/s00339-016-9651-4Google ScholarThere is no corresponding record for this reference.
- 13Xi, J. Q.; Kim, J. K.; Schubert, E. F. Silica nanorod-array films with very low refractive indices Nano Lett. 2005, 5, 1385– 1387 DOI: 10.1021/nl050698kGoogle ScholarThere is no corresponding record for this reference.
- 14Xi, J. Q.; Schubert, M. F.; Kim, J. K.; Schubert, E. F.; Chen, M. F.; Lin, S. Y.; Liu, W.; Smart, J. A. Optical thin-film materials with low refractive index for broadband elimination of Fresnel reflection Nat. Photonics 2007, 1, 176– 179 DOI: 10.1038/nphoton.2007.26Google Scholar14https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2sXjsFCgt70%253D&md5=c45beebd4662dc1d2ed1551767ada079Optical thin-film materials with low refractive index for broadband elimination of Fresnel reflectionXi, J.-Q.; Schubert, Martin F.; Kim, Jong Kyu; Schubert, E. Fred; Chen, Minfeng; Lin, Shawn-Yu; Liu, W.; Smart, J. A.Nature Photonics (2007), 1 (3), 176-179CODEN: NPAHBY; ISSN:1749-4885. (Nature Publishing Group)In 1880, by studying light passing through Earth's atm., Lord Rayleigh math. demonstrated that graded-refractive-index layers have broadband antireflection characteristics. Graded-index coatings with different index profiles have been investigated for broadband antireflection properties, particularly with air as the ambient medium. However, because of the unavailability of optical materials with very low refractive indexes that closely match the refractive index of air, such broadband antireflection coatings have not been realizable. Here we report the fabrication of TiO2 and SiO2 graded-index films deposited by oblique-angle deposition, and, for the first time, we demonstrate their potential for antireflection coatings by virtually eliminating Fresnel reflection from an AlN-air interface over a broad range of wavelengths. This is achieved by controlling the refractive index of the TiO2 and SiO2 nanorod layers, down to a min. value of n = 1.05 in the case of the latter, the lowest value so far reported.
- 15Zou, L.; Li, X.; Zhang, Q.; Shen, J. An Abrasion-Resistant and Broadband Antireflective Silica Coating by Block Copolymer Assisted Sol-Gel Method Langmuir 2014, 30, 10481– 10486 DOI: 10.1021/la502397eGoogle ScholarThere is no corresponding record for this reference.
- 16Muth, J.; Poggie, M.; Kulesha, G.; Meneghini, R. M. Novel Highly Porous Metal Technology in Artificial Hip and Knee Replacement: Processing Methodologies and Clinical Applications JOM 2013, 65, 318– 325 DOI: 10.1007/s11837-012-0528-5Google Scholar16https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXjs1OltQ%253D%253D&md5=06c599b5264e8b9da2d4107c04c72088Novel Highly Porous Metal Technology in Artificial Hip and Knee Replacement: Processing Methodologies and Clinical ApplicationsMuth, John; Poggie, Matthew; Kulesha, Gene; Michael Meneghini, R.JOM (2013), 65 (2), 318-325CODEN: JOMMER; ISSN:1047-4838. (Springer)A review. Hip and knee replacement can dramatically improve a patient's quality of life through pain relief and restored function. Fixation of hip and knee replacement implants to bone is crit. to the success of the procedure. A variety of roughened surfaces and three-dimensional porous surfaces have been used to enhance biol. fixation on orthopedic implants. Recently, highly porous metals have emerged as versatile biomaterials that may enhance fixation to bone and are suitable to a no. of applications in hip and knee replacement surgery. This article provides an overview of several processes used to create these implant surfaces.
- 17Ryan, G.; Pandit, A.; Apatsidis, D. P. Fabrication methods of porous metals for use in orthopaedic applications Biomaterials 2006, 27, 2651– 2670 DOI: 10.1016/j.biomaterials.2005.12.002Google Scholar17https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XnvVWktQ%253D%253D&md5=57136abcf6de89c63183febc7abee803Fabrication methods of porous metals for use in orthopaedic applicationsRyan, Garrett; Pandit, Abhay; Apatsidis, Dimitrios PanagiotisBiomaterials (2006), 27 (13), 2651-2670CODEN: BIMADU; ISSN:0142-9612. (Elsevier Ltd.)A review. Implant stability is not only a function of strength but also depends on the fixation established with surrounding tissues [Robertson DM, Pierre L, Chahal R. Preliminary observations of bone ingrowth into porous materials. J Biomed Mater Res 1976;10:335-44]. In the past, such stability was primarily achieved using screws and bone cements. However, more recently, improved fixation can be achieved by bone tissue growing into and through a porous matrix of metal, bonding in this way the implant to the bone host. Another potentially valuable property of porous materials is their low elastic modulus. Depending on the porosity, moduli can even be tailored to match the modulus of bone closer than solid metals can, thus reducing the problems assocd. with stress shielding. Finally, extensive body fluid transport through the porous scaffold matrix is possible, which can trigger bone ingrowth, if substantial pore interconnectivity is established [Cameron HU, Macnab I, Pilliar RM. A porous metal system for joint replacement surgery. Int J Artif Organs 1978;1:104-9; Head WC, Bauk DJ, Emerson Jr RH. Titanium as the material of choice for cementless femoral components in total hip arthroplasty. Clin Orthop 1995;85-90]. Over the years, a variety of fabrication processes were developed, resulting in porous implant substrates that can address unresolved clin. problems. The advantages of metals exhibiting surface or bulk porosity have led researchers to conduct systematic research aimed at clarifying the fundamental aspects of interactions between porous metals and hard tissue. This review summarizes all known methods for fabricating such porous metallic scaffolds.
- 18Skeldon, P.; Wang, H. W.; Thompson, G. E. Formation and characterization of self-lubricating MoS2 precursor films on anodized aluminium Wear 1997, 206, 187– 196 DOI: 10.1016/S0043-1648(96)07350-4Google Scholar18https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK2sXjs1SqsrY%253D&md5=37df3f5709cac8da2573a4e74888ee3dFormation and characterization of self-lubricating MoS2 precursor films on anodized aluminumSkeldon, P.; Wang, H. W.; Thompson, G. E.Wear (1997), 206 (1,2), 187-196CODEN: WEARAH; ISSN:0043-1648. (Elsevier)A duplex anodizing process was used to form self-lubricating MoS2 precursor films on aluminum. This encompasses an initial formation of a porous alumina film on aluminum, by anodizing in sulfuric acid, and a subsequent development of the MoS2 precursors within the pores, by another anodizing treatment in ammonium tetrathiomolybdate electrolyte. The films were then fully examd. by optical and electron microscopy, and energy dispersive X-ray anal. The lubricant was also studied using X-ray diffraction, XPS, and selected area electron diffraction. Furthermore, the incorporation process of the solid lubricant within the pores is elucidated, and a model advanced, on the basis of the features of the V-t curves of re-anodizing and the detd. morphol., microstructure and compn. of the film and lubricant. Finally, the wear properties and microhardness of the film are briefly reported.
- 19Hiratsuka, K.; Asakawa, M.; Funakoshi, A.; Takaya, M. Effect of Impregnation of Iodine Complex on Friction of Anodic Oxide of Aluminum Tribol. Lett. 2002, 13, 77– 80 DOI: 10.1023/A:1020196430807Google Scholar19https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD38XmvVOrsLo%253D&md5=bc526285379497a18d19e0705cf743beEffect of impregnation of iodine complex on friction of anodic oxide of aluminumHiratsuka, K.; Asakawa, M.; Funakoshi, A.; Takaya, M.Tribology Letters (2002), 13 (2), 77-80CODEN: TRLEFS; ISSN:1023-8883. (Kluwer Academic/Plenum Publishers)Polyvinyl pyrrolidone-iodine complex (PVP-I) mols. were impregnated into the anodic oxide of an aluminum disk specimen. It was rubbed against a silicon nitride ball specimen using a ball-on-disk type friction test rig. Over the limited range of parameters studied (load: 0.2-1.0 N, sliding velocity: 0.6 mm/s, and sliding distance: 1-7 m), the coeff. of friction decreased to a value as low as 0.01 from values of 0.3 to 0.7 for the anodic oxide surface. Single-crystal iodine rubbed against silicon nitride showed a coeff. of friction of 0.1. The low coeff. of friction is attributed to the thin PVP-I film on the relatively hard anodic oxide. The mechanism of coeff. of friction redn. is the same as that of a thin soft film on a hard substrate.
- 20Takaya, M.; Hashimoto, K.; Toda, Y.; Maejima, M. Novel tribological properties of anodic oxide coating of aluminum impregnated with iodine compound Surf. Coat. Technol. 2003, 169–170, 160– 162 DOI: 10.1016/S0257-8972(03)00218-4Google Scholar20https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXjvFWktbk%253D&md5=71092f2d44da539149ebdd551cab5dafNovel tribological properties of anodic oxide coating of aluminum impregnated with iodine compoundTakaya, M.; Hashimoto, K.; Toda, Y.; Maejima, M.Surface and Coatings Technology (2003), 169-170 (), 160-162CODEN: SCTEEJ; ISSN:0257-8972. (Elsevier Science B.V.)Several iodine compds. are well known as solid-state lubricants in the halogen family, however, satisfactory results of their use in the manufg. industry have not been reported. Subsequently the anodic oxide coating of Al impregnated with I2 compd. in the concrete inclusion complex with I2 was prepd. successfully by an electrochem. method and tribol. properties of obtained anodic oxide coating were investigated. Iodine compd. was found in micropores as 0.1 % I and formed iodophor of an amorphous structure. The tribol. properties of obtained anodic oxide coating were estd. by friction and wear testing. The yielded coeff. of dynamic friction of a sample with iodine compd. was < 0.1. Obtained anodic oxide coating impregnated with PTFE, namely TUFRAM. The coeffs. of dynamic friction of obtained anodic coating ADC12 Al die casting or A6061 Al alloy have proved to be lower in comparison with thin-film Sn on anodic oxide coating by electroplating. From these results, the impregnation of I2 compds. in the micropores of anodic oxide coating has proved to lower the coeff. of dynamic friction. We think this phenomenon originates from the orthorhombic system and lamellar structures of the I2 compd. used this time, which are well known as solid lubricants.
- 21Jackson, E. A.; Hillmyer, M. A. Nanoporous membranes derived from block copolymers: from drug delivery to water filtration ACS Nano 2010, 4, 3548– 3553 DOI: 10.1021/nn1014006Google Scholar21https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXptFOrsLw%253D&md5=4a15249f94179c9f3759623a5ec803bfNanoporous Membranes Derived from Block Copolymers: From Drug Delivery to Water FiltrationJackson, Elizabeth A.; Hillmyer, Marc A.ACS Nano (2010), 4 (7), 3548-3553CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. With nanoscale pores, high porosity, narrow pore size distributions, and tunable chem. and mech. properties, block copolymers hold tremendous potential as robust, efficient, and highly selective sepn. membranes. Recent work by Yang et al. illustrates how block copolymers can be employed in the prepn. of membranes for use in controlled, long-term, protein-delivery devices. Their work demonstrates that highly efficient and tunable sepns. are possible with block copolymer membranes. Although significant progress over the past 10 years has advanced the quality, efficacy, and applicability of such membranes, more work is required before benefits are realized for other demanding applications such as water purifn.
- 22Wei, Q.; Xiong, F.; Tan, S.; Huang, L.; Lan, E. H.; Dunn, B.; Mai, L. Porous One-Dimensional Nanomaterials: Design, Fabrication and Applications in Electrochemical Energy Storage Adv. Mater. 2017, 291602300 DOI: 10.1002/adma.201602300Google ScholarThere is no corresponding record for this reference.
- 23Chou, S. Y.; Krauss, P. R.; Renstrom, P. J. Imprint Lithography with 25-Nanometer Resolution Science 1996, 272, 85– 87 DOI: 10.1126/science.272.5258.85Google Scholar23https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK28XitVKkt7Y%253D&md5=95c4dc5101a4d807d9cbef6a4edac421Imprint lithography with 25-nanometer resolutionChou, Stephen Y.; Krauss, Peter R.; Renstrom, Preston J.Science (Washington, D. C.) (1996), 272 (5258), 85-7CODEN: SCIEAS; ISSN:0036-8075. (American Association for the Advancement of Science)A high-throughput lithog. method with 25-nm resoln. and smooth vertical sidewalls is proposed and demonstrated. The technique uses compression molding to create a thickness contrast pattern in a thin resist film carried on a substrate, followed by anisotropic etching to transfer the pattern through the entire resist thickness. Metal patterns with a feature size of 25 nm and a period of 70 nm were fabricated with the use of resist templates created by imprint lithog. in combination with a lift-off process. With further development, imprint lithog. should allow fabrication of sub-10-nm structures and may become a com. viable technique for manufg. integrated circuits and other nanodevices.
- 24Biswas, M.; Libera, J. A.; Darling, S. B.; Elam, J. W. New Insight into the Mechanism of Sequential Infiltration Synthesis from Infrared Spectroscopy Chem. Mater. 2014, 26, 6135– 6141 DOI: 10.1021/cm502427qGoogle Scholar24https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhslGkt7fK&md5=1694168ec6e5258ff02fa0a9c40a458cNew Insight into the Mechanism of Sequential Infiltration Synthesis from Infrared SpectroscopyBiswas, Mahua; Libera, Joseph A.; Darling, Seth B.; Elam, Jeffrey W.Chemistry of Materials (2014), 26 (21), 6135-6141CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Sequential infiltration synthesis (SIS) has been recently demonstrated to increase the etch resistance of optical, e-beam, and block copolymer lithog. resists for sub-50 nm pattern transfer. Although SIS can dramatically enhance pattern transfer relevant to device applications, the complex processes involved in SIS are not clearly understood. Fundamental knowledge of the chem. underlying SIS is necessary to ensure a high degree of perfection in large-scale(coating process) lithog. To this end, we performed in situ Fourier transform IR (FTIR) spectroscopic measurements during the SIS of Al2O3 using trimethylaluminum (TMA) and H2O into poly(Me methacrylate) (PMMA). The FTIR results revealed that TMA reacts quickly with PMMA to form an unstable complex. The subsequent conversion of this intermediate complex into stable Al-O linkages is slow and must compete with rapid TMA desorption. We support this interpretation of the FTIR data using d. functional theory to calc. plausible structures for the unstable TMA-PMMA complex and the covalently linked species. As a consequence of this two-step reaction between TMA and PMMA, the detailed history of the TMA exposure becomes crit. to achieving reliable patterns in SIS lithog. We demonstrate this using SEM to image the patterns resulting from SIS treatment of block copolymer films under different TMA exposure conditions. This better understanding of the SIS reaction dynamics should improve reliability in SIS lithog. as well as other SIS applications.
- 25Biswas, M.; Libera, J. A.; Darling, S. B.; Elam, J. W. Kinetics for the Sequential Infiltration Synthesis of Alumina in Poly(methyl methacrylate): An Infrared Spectroscopic Study J. Phys. Chem. C 2015, 119, 14585– 14592 DOI: 10.1021/jp511939jGoogle Scholar25https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXmvV2huw%253D%253D&md5=9f63e1e329c8932fd86c0668030ffb85Kinetics for the Sequential Infiltration Synthesis of Alumina in Poly(methyl methacrylate): An Infrared Spectroscopic StudyBiswas, Mahua; Libera, Joseph A.; Darling, Seth B.; Elam, Jeffrey W.Journal of Physical Chemistry C (2015), 119 (26), 14585-14592CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)Sequential infiltration synthesis (SIS) is a method for growing inorg. materials within polymers in an atomically controlled fashion. This technique can increase the etch resistance of optical, electron-beam, and block copolymer (BCP) lithog. resists and is also a flexible strategy for nanomaterials synthesis. Despite this broad utility, the kinetics of SIS remain poorly understood, and this knowledge gap must be bridged to gain firm control over the growth of inorg. materials inside polymer films at a large scale. In this paper, we explore the reaction kinetics for Al2O3 SIS in PMMA using in situ FTIR spectroscopy. First, we establish the kinetics for satn. adsorption and desorption of tri-Me aluminum (TMA) in PMMA over a range of PMMA film thicknesses deposited on silicon substrates. These observations guide the selection of TMA dose and purge times during SIS lithog. to achieve robust org./inorg. structures. Next, we examine the effects of TMA desorption on BCP lithog. by performing SIS on silicon surfaces coated with polystyrene-block-poly(Me methacrylate) films. After etching the org. components, the substrates are examd. using SEM to evaluate the resulting Al2O3 patterns. Finally, we examine the effects of temp. on Al2O3 SIS in PMMA to elucidate the infiltration kinetics. The insights provided by these measurements will help extend SIS lithog. to larger substrate sizes for eventual commercialization and expand our knowledge of precursor-polymer interactions that will benefit the SIS of a wide range of inorg. materials in the future.
- 26Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers Adv. Mater. 2010, 22, 5129– 5133 DOI: 10.1002/adma.201002465Google Scholar26https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXhsFSgs7bF&md5=dcc3287258bc7dfe69ba28af6e2d5c7fNanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block CopolymersPeng, Qing; Tseng, Yu-Chih; Darling, Seth B.; Elam, Jeffrey W.Advanced Materials (Weinheim, Germany) (2010), 22 (45), 5129-5133CODEN: ADVMEW; ISSN:0935-9648. (Wiley-VCH Verlag GmbH & Co. KGaA)A new method is established for the controllable prepn. of patterned nanostructures of a broad variety of materials with tunable characteristic feature sizes and shaped by utilizing the confluence of unique capabilities inherent to at. layer deposition, block copolymer self-assembly, and selective interaction of metal precursors with polymer units. Therefore, patterned functional materials could be synthesized onto a broad range of substrates by carefully designing block copolymers and selecting ALD parameters.
- 27Suh, H. S.; Kim, D. H.; Moni, P.; Xiong, S.; Ocola, L. E.; Zaluzec, N. J.; Gleason, K. K.; Nealey, P. F. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat Nat. Nanotechnol. 2017, 12, 575 DOI: 10.1038/nnano.2017.34Google Scholar27https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXltVWntr0%253D&md5=df46972fa59eb29a8325d671adc809f3Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapor-phase deposited topcoatSuh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.Nature Nanotechnology (2017), 12 (6), 575-581CODEN: NNAABX; ISSN:1748-3387. (Nature Publishing Group)Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodol. to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chem. vapor deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chem. patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapor-phase deposition, applicability to high-resoln. BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.
- 28Barry, E.; Mane, A. U.; Libera, J. A.; Elam, J. W.; Darling, S. B. Advanced oil sorbents using sequential infiltration synthesis J. Mater. Chem. A 2017, 5, 2929– 2935 DOI: 10.1039/C6TA09014AGoogle Scholar28https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXps12isg%253D%253D&md5=4a8aa3186b5cdf34fd63ecaee5032c33Advanced oil sorbents using sequential infiltration synthesisBarry, Edward; Mane, Anil U.; Libera, Joseph A.; Elam, Jeffrey W.; Darling, Seth B.Journal of Materials Chemistry A: Materials for Energy and Sustainability (2017), 5 (6), 2929-2935CODEN: JMCAET; ISSN:2050-7496. (Royal Society of Chemistry)Disasters on the scale of the Exxon Valdez and Deepwater Horizon serve as harrowing reminders of the devastating effects uncontrolled oil spills have on the environment. Skimming, burning, and dispersing oil are only partially effective and carry their own ecol. impacts. An enticing alternative strategy involves oil sorbents capable of efficient extn. of oil from water bodies, which in turn necessitates the design and implementation of novel materials. Here, we extend methods of sequential infiltration synthesis (SIS) traditionally only applied to nanometer scale thin films to create oil sorbents based on macroscopic, com. available polymeric foams. Targeting superoleophilic and superhydrophobic chemistries, we demonstrate the propensity of SIS-based modifications in oil spill remediation and demonstrate its efficacy in crude oil sorption in model seawater. We find crude oil sorption on the order of 30 and 90 times the initial foam wt. for polyurethane and polyimide, resp., both with highly favorable reusability.
- 29Berman, D.; Guha, S.; Lee, B.; Elam, J. W.; Darling, S. B.; Shevchenko, E. V. Sequential Infiltration Synthesis for the Design of Low Refractive Index Surface Coatings with Controllable Thickness ACS Nano 2017, 11, 2521– 2530 DOI: 10.1021/acsnano.6b08361Google Scholar29https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhvVant7w%253D&md5=9175761d9664352d2931b17633ee0980Sequential Infiltration Synthesis for the Design of Low Refractive Index Surface Coatings with Controllable ThicknessBerman, Diana; Guha, Supratik; Lee, Byeongdu; Elam, Jeffrey W.; Darling, Seth B.; Shevchenko, Elena V.ACS Nano (2017), 11 (3), 2521-2530CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Control over refractive index and thickness of surface coatings is central to the design of low refraction films used in applications ranging from optical computing to antireflective coatings. Gas-phase sequential infiltration synthesis (SIS) is introduced as a robust, powerful, and efficient approach to deposit conformal coatings with very low refractive indexes. The refractive indexes of inorg. coatings can be efficiently tuned by the no. of cycles used in the SIS process, compn., and selective swelling of the of the polymer template. The refractive index of Al2O3 can be lowered from 1.76 down to 1.1 using this method. The thickness of the Al2O3 coating can be efficiently controlled by the swelling of the block copolymer template in EtOH at elevated temp., thereby enabling deposition of both single-layer and graded-index broadband antireflective coatings. Using this technique, Fresnel reflections of glass can be reduced to ≥0.1% under normal illumination over a broad spectral range.
- 30Prosek, T.; Taube, M.; Dubois, F.; Thierry, D. Application of automated electrical resistance sensors for measurement of corrosion rate of copper, bronze and iron in model indoor atmospheres containing short-chain volatile carboxylic acids Corros. Sci. 2014, 87, 376– 382 DOI: 10.1016/j.corsci.2014.06.047Google Scholar30https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhtFWntbfI&md5=2b2f52c7e8fd794269ccc2e432ebda64Application of automated electrical resistance sensors for measurement of corrosion rate of copper, bronze and iron in model indoor atmospheres containing short-chain volatile carboxylic acidsProsek, Tomas; Taube, Michelle; Dubois, Francois; Thierry, DominiqueCorrosion Science (2014), 87 (), 376-382CODEN: CRRSAA; ISSN:0010-938X. (Elsevier Ltd.)The corrosion rate of Cu and bronze Cu-8%Sn increased rapidly when the concn. of formic or HOAc in air reached ∼300 ppb at 80% relative humidity (RH) and a temp. of 20°. It decreased slowly during the several days after pollutant removal due to the slow rate of pollutant desorption from the metal surfaces. Corrosion of these metals was barely affected by the acids at RH up to 60%. For Fe, the crit. concn. of formic acid in air which led to surface activation at 80% RH was 1000-1590 ppb.
- 31Ylivaara, O. M. E.; Liu, X.; Kilpi, L.; Lyytinen, J.; Schneider, D.; Laitinen, M.; Julin, J.; Ali, S.; Sintonen, S.; Berdova, M.; Haimi, E.; Sajavaara, T.; Ronkainen, H.; Lipsanen, H.; Koskinen, J.; Hannula, S.-P.; Puurunen, R. L. Aluminum oxide from trimethylaluminum and water by atomic layer deposition: The temperature dependence of residual stress, elastic modulus, hardness and adhesion Thin Solid Films 2014, 552, 124– 135 DOI: 10.1016/j.tsf.2013.11.112Google Scholar31https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXltVGmtw%253D%253D&md5=586bf956ba9ebcf1600a5ed57e6eef22Aluminum oxide from trimethylaluminum and water by atomic layer deposition. The temperature dependence of residual stress, elastic modulus, hardness and adhesionYlivaara, Oili M. E.; Liu, Xuwen; Kilpi, Lauri; Lyytinen, Jussi; Schneider, Dieter; Laitinen, Mikko; Julin, Jaakko; Ali, Saima; Sintonen, Sakari; Berdova, Maria; Haimi, Eero; Sajavaara, Timo; Ronkainen, Helena; Lipsanen, Harri; Koskinen, Jari; Hannula, Simo-Pekka; Puurunen, Riikka L.Thin Solid Films (2014), 552 (), 124-135CODEN: THSFAP; ISSN:0040-6090. (Elsevier B.V.)Use of at. layer deposition (ALD) in microelectromech. systems (MEMS) has increased as ALD enables conformal growth on 3D structures at relatively low temps. For MEMS device design and fabrication, the understanding of stress and mech. properties such as elastic modulus, hardness and adhesion of thin film is crucial. A comprehensive characterization of the stress, elastic modulus, hardness and adhesion of ALD Al2O3 films grown at 110-300° from AlMe3 and water is presented. Film stress was analyzed by wafer curvature measurements, elastic modulus by nanoindentation and surface-acoustic wave measurements, hardness by nanoindentation and adhesion by microscratch test and scanning nanowear. The films were also analyzed by ellipsometry, optical reflectometry, x-ray reflectivity and time-of-flight elastic recoil detection for refractive index, thickness, d. and impurities. The ALD Al2O3 films were under tensile stress in the scale of hundreds of MPa. The magnitude of the stress decreased strongly with increasing ALD temp. The stress was stable during storage in air. Elastic modulus and hardness of ALD Al2O3 satd. to a fairly const. value for growth at 150-300°, while ALD at 110° gave softer films with lower modulus. ALD Al2O3 films adhered strongly on cleaned Si with SiOx termination.
- 32Profijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. M. M. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges J. Vac. Sci. Technol., A 2011, 29050801 DOI: 10.1116/1.3609974Google Scholar32https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3MXhtVyrtrvN&md5=a56a771c4913d50ac2ea166eff4a2cbcPlasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and ChallengesProfijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. M. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2011), 29 (5), 050801/1-050801/26CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)A review. Plasma-assisted at. layer deposition (ALD) is an energy-enhanced method for the synthesis of ultra-thin films with A-level resoln. in which a plasma is employed during one step of the cyclic deposition process. The use of plasma species as reactants allows for more freedom in processing conditions and for a wider range of material properties compared with the conventional thermally-driven ALD method. Due to the continuous miniaturization in the microelectronics industry and the increasing relevance of ultra-thin films in many other applications, the deposition method has rapidly gained popularity in recent years, as is apparent from the increased no. of articles published on the topic and plasma-assisted ALD reactors installed. To address the main differences between plasma-assisted ALD and thermal ALD, some basic aspects related to processing plasmas are presented in this review article. The plasma species and their role in the surface chem. are addressed and different equipment configurations, including radical-enhanced ALD, direct plasma ALD, and remote plasma ALD, are described. The benefits and challenges provided by the use of a plasma step are presented and it is shown that the use of a plasma leads to a wider choice in material properties, substrate temp., choice of precursors, and processing conditions, but that the processing can also be compromised by reduced film conformality and plasma damage. Finally, several reported emerging applications of plasma-assisted ALD are reviewed. It is expected that the merits offered by plasma-assisted ALD will further increase the interest of equipment manufacturers for developing industrial-scale deposition configurations such that the method will find its use in several manufg. applications. (c) 2011 American Institute of Physics.
- 33Pinna, N.; Knez, M. Atomic Layer Deposition of Nanostructured Materials; John Wiley & Sons, 2012.Google ScholarThere is no corresponding record for this reference.
- 34Ting, Y.-H.; Park, S.-M.; Liu, C.-C.; Liu, X.; Himpsel, F.; Nealey, P. F.; Wendt, A. E. Plasma etch removal of poly (methyl methacrylate) in block copolymer lithography J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom. 2008, 26, 1684– 1689 DOI: 10.1116/1.2966433Google Scholar34https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1cXht1CitrnP&md5=a200c90bba0a519b6b6fb8513ef7eab2Plasma etch removal of poly(methyl methacrylate) in block copolymer lithographyTing, Yuk-Hong; Park, Sang-Min; Liu, Chi-Chun; Liu, Xiaosong; Himpsel, F. J.; Nealey, Paul F.; Wendt, Amy E.Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena (2008), 26 (5), 1684-1689CODEN: JVSTBM; ISSN:1071-1023. (American Institute of Physics)Polystyrene-block-poly(Me methacrylate), (PS-b-PMMA) diblock copolymer is a promising lithog. alternative for nanometer scale features. The two components segregate into nanoscale domains when the polymer soln. is spun on to form a thin film and annealed above the glass transition temps. of both components. Preferential removal of PMMA domains through plasma etching to leave behind a PS mask for subsequent etching of underlying layers is the focus of this work. The quality of the PS mask is characterized by the thickness and lateral dimension of the PS structures after removal of the PMMA, as well as the smoothness of their surfaces. The effects of different plasma chemistries including O2, Ar/O2, Ar, CF4, and CHF3/O2 on etch selectivity and surface/sidewall roughness for PS and PMMA have been characterized. Ar/O2 produced the overall best results for the range of conditions studied. (c) 2008 American Institute of Physics.
- 35Krishnamoorthy, S.; Hinderling, C.; Heinzelmann, H. Nanoscale patterning with block copolymers Mater. Today 2006, 9, 40– 47 DOI: 10.1016/S1369-7021(06)71621-2Google Scholar35https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XpslSrt74%253D&md5=266d16d87f47627995996b4e98d49f79Nanoscale patterning with block copolymersKrishnamoorthy, Sivashankar; Hinderling, Christian; Heinzelmann, HarryMaterials Today (Oxford, United Kingdom) (2006), 9 (9), 40-47CODEN: MTOUAN; ISSN:1369-7021. (Elsevier Ltd.)A review. The self-assembly processes of block copolymers offer interesting strategies to create patterns on nanometer length scales. The polymeric constituents, substrate surface properties, and exptl. conditions all offer parameters that allow the control and optimization of pattern formation for specific applications. The authors review how such patterns can be obtained and discuss some potential applications using these patterns as (polymeric) nanostructures or templates, e.g. for nanoparticle assembly. The method offers interesting possibilities in combination with existing high-resoln. lithog. methods, and could become of particular interest in microtechnol. and biosensing.
- 36Choi, H. W.; Woo, H. J.; Hong, W.; Kim, J. K.; Lee, S. K.; Eum, C. H. Structural modification of poly(methyl methacrylate) by proton irradiation Appl. Surf. Sci. 2001, 169–170, 433– 437 DOI: 10.1016/S0169-4332(00)00699-1Google Scholar36https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXhtFKksrg%253D&md5=9e2b5fc95cc928174fd1422bb1a54194Structural modification of poly(methyl methacrylate) by proton irradiationChoi, H. W.; Woo, H. J.; Hong, W.; Kim, J. K.; Lee, S. K.; Eum, C. H.Applied Surface Science (2001), 169-170 (), 433-437CODEN: ASUSEE; ISSN:0169-4332. (Elsevier Science B.V.)A report is presented on the structural modification of poly(Me methacrylate) (PMMA) by proton implantation. The implanted PMMA films were characterized by FT-IR attenuated total reflection, Raman, and Rutherford backscattering spectroscopy (RBS), gel-permeation chromatog. (GPC), and surface profiling. The ion fluence of 350 keV protons ranged from 2 × 1014 to 1 × 1015 ions/cm2. The IR and Raman spectra showed the redn. of peaks from the pendent group of PMMA. The change of absorption and compn. was obsd. by UV-visible spectra and RBS, resp. These results showed that the pendent group is readily decompd. and eliminated by proton irradn. Changes in mol. wt. distribution were also measured by GPC and G-value of scission was estd. to be 0.67.
- 37Brinkhuis, R. H. G.; Schouten, A. J. Thin-film behavior of poly(methyl methacrylates). 2. An FT-IR study of Langmuir-Blodgett films of isotactic PMMA Macromolecules 1991, 24, 1496– 1504 DOI: 10.1021/ma00007a010Google Scholar37https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3MXhsVCnsLg%253D&md5=e41d99ccc45d4a342e8fd0e361003acbThin-film behavior of poly(methyl methacrylates). 2. An FT-IR study of Langmuir-Blodgett films of isotactic PMMABrinkhuis, R. H. G.; Schouten, A. J.Macromolecules (1991), 24 (7), 1496-504CODEN: MAMOBX; ISSN:0024-9297.FTIR investigation of the title films indicated that at high surface pressures the isotactic PMMA was transferred into a cryst. conformation, presumably as double helixes. The films built of this type of Langmuir-Blodgett layers could easily be crystd. further, in contrast to films of amorphous isotactic PMMA. IR techniques were also used to deduce the orientational characteristics of the cryst. structures. These were strongly dependent on the mol. wt. and the monolayer history. Very strongly oriented, highly cryst. thin films could be prepd. starting from Langmuir-Blodgett multilayers.
- 38Namouchi, F.; Smaoui, H.; Fourati, N.; Zerrouki, C.; Guermazi, H.; Bonnet, J. J. Investigation on electrical properties of thermally aged PMMA by combined use of FTIR and impedance spectroscopies J. Alloys Compd. 2009, 469, 197– 202 DOI: 10.1016/j.jallcom.2008.01.148Google Scholar38https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXhtVOmt78%253D&md5=33147d7cb06b97130148f9a17298d383Investigation on electrical properties of thermally aged PMMA by combined use of FTIR and impedance spectroscopiesNamouchi, F.; Smaoui, H.; Fourati, N.; Zerrouki, C.; Guermazi, H.; Bonnet, J. J.Journal of Alloys and Compounds (2009), 469 (1-2), 197-202CODEN: JALCEU; ISSN:0925-8388. (Elsevier B.V.)A review. Effects of thermal aging on elec. properties of polymethyl methacrylate (PMMA) polymer are reported in this paper. PMMA samples are submitted to successive heat-cooling cycles (T max = 45° and T min = 20°) in the ambient air. Different complementary techniques are thus employed to investigate structural modifications, conduction processes and dielec. relaxations. These are the Fourier Transform Infra Red (FTIR) spectroscopy, impedance spectroscopy and current-voltage technique. Results are discussed in terms of FTIR bands intensities, relaxation frequencies and elec. cond. We demonstrated that thermal aging favors oxidn. phenomenon. This causes an increase of free radicals leading to space charge amt. increasing. PMMA polymer presents therefore a less insulating character.
- 39Potts, S. E.; Dingemans, G.; Lachaud, C.; Kessels, W. M. M. Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor J. Vac. Sci. Technol., A 2012, 30021505 DOI: 10.1116/1.3683057Google Scholar39https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XjtFyht7k%253D&md5=432f3ac8be5ff583a2059ae0aab2fc6cPlasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursorPotts, Stephen E.; Dingemans, Gijs; Lachaud, Christophe; Kessels, W. M. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2012), 30 (2), 021505/1-021505/12CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)The authors have been investigating the use of [Al(CH3)2(μ-OiPr)]2 (DMAI) as an alternative Al precursor to [Al(CH3)3] (TMA) for remote plasma-enhanced and thermal ALD over wide temp. ranges of 25-400 and 100-400 °C, resp. The growth per cycle (GPC) obtained using in situ spectroscopic ellipsometry for plasma-enhanced ALD was 0.7-0.9 A/cycle, generally lower than the >0.9 A/cycle afforded by TMA. In contrast, the thermal process gave a higher GPC than TMA above 250 °C, but below this temp., the GPC decreased rapidly with decreasing temp. Quadrupole mass spectrometry data confirmed that both CH4 and HOiPr were formed during the DMAI dose for both the plasma-enhanced and thermal processes. CH4 and HOiPr were also formed during the H2O dose but combustion-like products (CO2 and H2O) were obsd. during the O2 plasma dose. Rutherford backscattering spectrometry showed that, for temps. >100 °C and >200 °C for plasma-enhanced and thermal ALD, resp., films from DMAI had an O/Al ratio of 1.5-1.6, a H content of ∼5 at. % and mass densities of 2.7-3.0 g cm-3. The film compns. afforded from DMAI were comparable to those from TMA at deposition temps. ≥150 °C. At lower temps., there were differences in O, H, and C incorporation. 30 nm thick Al2O3 films from the plasma-enhanced ALD of DMAI were found to passivate n- and p-type Si floatzone wafers (∼3.5 and ∼2 Ω cm, resp.) with effective carrier lifetimes comparable to those obtained using TMA. Surface recombination velocities of < 3 and < 6 cm s-1 were obtained for the n- and p-type Si, resp. Using these results, the film properties obtained using DMAI and TMA are compared and the mechanisms for the plasma-enhanced and thermal ALD using DMAI are discussed. (c) 2012 American Institute of Physics.
- 40Wang, Y. Nondestructive Creation of Ordered Nanopores by Selective Swelling of Block Copolymers: Toward Homoporous Membranes Acc. Chem. Res. 2016, 49, 1401– 1408 DOI: 10.1021/acs.accounts.6b00233Google Scholar40https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhtVCrs77I&md5=49e138b770f8c6327736a3a9a4b85322Nondestructive Creation of Ordered Nanopores by Selective Swelling of Block Copolymers: Toward Homoporous MembranesWang, YongAccounts of Chemical Research (2016), 49 (7), 1401-1408CODEN: ACHRE4; ISSN:0001-4842. (American Chemical Society)Pores regulate the entry and exit of substances based on the differences in phys. sizes or chem. affinities. Pore uniformity, ordering, and the homogeneity of the surface chem. of the pore walls are vital for maximizing the performance of a porous material because any scattering in these parameters weakens the capability of pores to discriminate foreign substances. Most strategies for the creation of homogeneous pores are destructive, and sacrificial components in the precursor materials must be selectively removed to generate porosities. The incorporation and subsequent removal of the sacrificial components frequently make the pore-making process complicated and inefficient and impose greater uncertainty in the control of the pore homogeneity.Block copolymers (BCPs) have been demonstrated to be promising precursors in the fabrication of highly ordered nanoporous structures. Unfortunately, BCP-derived porosities are also predominantly dependent on destructive pore-making processes (e.g., etching or extn.). To address this problem, we have developed a swelling-based nondestructive strategy. In this swelling process, one simply needs to immerse BCP materials in a solvent selective for the minority blocks for hours. After removing the BCPs from the solvent followed by air drying, pores are generated throughout the BCP materials in the positions where the minority blocks initially dwell. This Account discusses our recent discoveries, new insights, and emerging applications of this burgeoning pore-making method with a focus on the development of ordered porosities in bulk BCP materials.The initial morphol. and orientation of the minority phases in BCPs det. the pore orientation and geometry in the produced porous materials. For nonaligned BCPs, three-dimensionally interconnected pores with sizes scattering in the 10-50 nm range are produced after swelling. There is a morphol. evolution of BCP materials from the initial nonporous structure to the increasingly opened nanoporous intermediates, to interconnected networks of micellar nanofibers, and finally to isolated micellar spheres with increasing degrees of swelling. When the BCP films are aligned perpendicularly or in-plane, selective swelling results in uniform "standing" (perpendicular orientation) and "sleeping" (in-plane orientation) pores, resp. Pore sizes can be tuned by changing mol. wts. of the BCPs and swelling conditions without the loss of pore uniformity. Due to the nondestructive nature of this swelling process, nothing in the BCPs is lost during the pore-forming procedure, and consequently the formed pores can be progressively closed also by selective swelling. Such reversible pore opening/closing can be repeated many times, enabling the application of these materials in drug delivery and intelligent antireflective coatings. The monodispersed pore sizes, straight pore profile, and hydrophilic pore walls particularly favor the application of the porous BCPs in sepns. as homoporous membranes (HOMEs) exhibiting high selectivity, permeability, and inherent stimulus responsiveness.
- 41Buscema, M.; Steele, G. A.; van der Zant, H. S. J.; Castellanos-Gomez, A. The effect of the substrate on the Raman and photoluminescence emission of single-layer MoS2 Nano Res. 2014, 7, 561– 571 DOI: 10.1007/s12274-014-0424-0Google ScholarThere is no corresponding record for this reference.
- 42Diroll, B. T.; Nedelcu, G.; Kovalenko, M. V.; Schaller, R. D. High-Temperature Photoluminescence of CsPbX3 (X = Cl, Br, I) Nanocrystals Adv. Funct. Mater. 2017, 271606750 DOI: 10.1002/adfm.201606750Google ScholarThere is no corresponding record for this reference.
Cited By
Smart citations by scite.ai include citation statements extracted from the full text of the citing article. The number of the statements may be higher than the number of citations provided by ACS Publications if one paper cites another multiple times or lower if scite has not yet processed some of the citing articles.
This article is cited by 20 publications.
- Ruoke Cai, Inbal Weisbord, Shaked Caspi, Lilach Naamat, Lior Kornblum, Alon Grinberg Dana, Tamar Segal-Peretz. Rational Design and Fabrication of Block Copolymer Templated Hafnium Oxide Nanostructures. Chemistry of Materials 2024, 36
(3)
, 1591-1601. https://doi.org/10.1021/acs.chemmater.3c02836
- Nithin Poonkottil, Eduardo Solano, Arbresha Muriqi, Matthias M. Minjauw, Matthias Filez, Michael Nolan, Christophe Detavernier, Jolien Dendooven. Spatially Templated Nanolines of Ru and RuO2 by Sequential Infiltration Synthesis. Chemistry of Materials 2022, 34
(23)
, 10347-10360. https://doi.org/10.1021/acs.chemmater.2c01866
- Daniel Pleshek, John Tran, Yuzhe Li, Asghar Shirani, Elena V. Shevchenko, Diana Berman. Swelling-Assisted Sequential Infiltration Synthesis of Nanoporous ZnO Films with Highly Accessible Pores and Their Sensing Potential for Ethanol. ACS Applied Materials & Interfaces 2021, 13
(30)
, 35941-35948. https://doi.org/10.1021/acsami.1c08225
- Mohammad Istiaque Hossain, Brahim Aïssa, Ayman Samara, Said A. Mansour, Cédric A. Broussillou, Veronica Bermudez Benito. Hydrophilic Antireflection and Antidust Silica Coatings. ACS Omega 2021, 6
(8)
, 5276-5286. https://doi.org/10.1021/acsomega.0c05405
- Yunlong She, Emmett D. Goodman, Jihyung Lee, Benjamin T. Diroll, Matteo Cargnello, Elena V. Shevchenko, Diana Berman. Block-Co-polymer-Assisted Synthesis of All Inorganic Highly Porous Heterostructures with Highly Accessible Thermally Stable Functional Centers. ACS Applied Materials & Interfaces 2019, 11
(33)
, 30154-30162. https://doi.org/10.1021/acsami.9b09991
- Yunlong She, Jihyung Lee, Byeongdu Lee, Benjamin Diroll, Thomas Scharf, Elena V. Shevchenko, Diana Berman. Effect of the Micelle Opening in Self-assembled Amphiphilic Block Co-polymer Films on the Infiltration of Inorganic Precursors. Langmuir 2019, 35
(3)
, 796-803. https://doi.org/10.1021/acs.langmuir.8b04039
- Norikatsu Sasao, Shinobu Sugimura, Koji Asakawa, Tomoya Oshikiri, Masaru Nakagawa. Volume compensating materials after vapor phase infiltration: effect of different butyl isomers of polymer side-chains on high process temperature durability. Japanese Journal of Applied Physics 2024, 63
(4)
, 04SP14. https://doi.org/10.35848/1347-4065/ad2977
- Khalil D. Omotosho, Vasanta Gurung, Progna Banerjee, Elena V. Shevchenko, Diana Berman. Self-Cleaning Highly Porous TiO2 Coating Designed by Swelling-Assisted Sequential Infiltration Synthesis (SIS) of a Block Copolymer Template. Polymers 2024, 16
(3)
, 308. https://doi.org/10.3390/polym16030308
- Khalil Omotosho, John Tran, Elena V. Shevchenko, Diana Berman. Polymer infiltration synthesis of inorganic nanoporous coatings: Does polymer template affect their properties?. Surface and Coatings Technology 2023, 452 , 129107. https://doi.org/10.1016/j.surfcoat.2022.129107
- Norikatsu Sasao, Shinobu Sugimura, Koji Asakawa. Metal diffusion model in polymer matrices in vapor phase infiltration. Japanese Journal of Applied Physics 2021, 60
(SC)
, SCCC04. https://doi.org/10.35848/1347-4065/abf2d7
- Eleonora Cara, Irdi Murataj, Gianluca Milano, Natascia De Leo, Luca Boarino, Federico Ferrarese Lupi. Recent Advances in Sequential Infiltration Synthesis (SIS) of Block Copolymers (BCPs). Nanomaterials 2021, 11
(4)
, 994. https://doi.org/10.3390/nano11040994
- Diana Berman, Yuchen Sha, Elena V. Shevchenko. Effect of Polymer Removal on the Morphology and Phase of the Nanoparticles in All-Inorganic Heterostructures Synthesized via Two-Step Polymer Infiltration. Molecules 2021, 26
(3)
, 679. https://doi.org/10.3390/molecules26030679
- Jihyung Lee, Vahid Hasannaeimi, Thomas W. Scharf, Diana Berman. Mechanical and chemical robustness of the aluminum oxide-infiltrated block copolymer films and the resulting aluminum oxide coatings. Surface and Coatings Technology 2020, 399 , 126204. https://doi.org/10.1016/j.surfcoat.2020.126204
- Diana Berman, Elena Shevchenko. Design of functional composite and all-inorganic nanostructured materials
via
infiltration of polymer templates with inorganic precursors. Journal of Materials Chemistry C 2020, 8
(31)
, 10604-10627. https://doi.org/10.1039/D0TC00483A
- Norikatsu Sasao, Shinobu Sugimura, Koji Asakawa. Polymer designs for dense metal infiltration for higher dry-etch resistance. Japanese Journal of Applied Physics 2020, 59
(SI)
, SIIC02. https://doi.org/10.35848/1347-4065/ab86dd
- Barun K. Barick, Assaf Simon, Inbal Weisbord, Neta Shomrat, Tamar Segal-Peretz. Tin oxide nanostructure fabrication via sequential infiltration synthesis in block copolymer thin films. Journal of Colloid and Interface Science 2019, 557 , 537-545. https://doi.org/10.1016/j.jcis.2019.09.044
- Ruben Z. Waldman, David J. Mandia, Angel Yanguas-Gil, Alex B. F. Martinson, Jeffrey W. Elam, Seth B. Darling. The chemical physics of sequential infiltration synthesis—A thermodynamic and kinetic perspective. The Journal of Chemical Physics 2019, 151
(19)
https://doi.org/10.1063/1.5128108
- Caijuan Shi, Oliver L. G. Alderman, Diana Berman, Jincheng Du, Joerg Neuefeind, Anthony Tamalonis, J. K. Richard Weber, Jinglin You, Chris J. Benmore. The Structure of Amorphous and Deeply Supercooled Liquid Alumina. Frontiers in Materials 2019, 6 https://doi.org/10.3389/fmats.2019.00038
- Yunlong She, Jihyung Lee, Benjamin T Diroll, Thomas W Scharf, Elena V Shevchenko, Diana Berman. Accessibility of the pores in highly porous alumina films synthesized via sequential infiltration synthesis. Nanotechnology 2018, 29
(49)
, 495703. https://doi.org/10.1088/1361-6528/aae144
- Itxasne Azpitarte, Mato Knez. Vapor phase infiltration: from a bioinspired process to technologic application, a prospective review. MRS Communications 2018, 8
(3)
, 727-741. https://doi.org/10.1557/mrc.2018.126
Article Views are the COUNTER-compliant sum of full text article downloads since November 2008 (both PDF and HTML) across all institutions and individuals. These metrics are regularly updated to reflect usage leading up to the last few days.
Citations are the number of other articles citing this article, calculated by Crossref and updated daily. Find more information about Crossref citation counts.
The Altmetric Attention Score is a quantitative measure of the attention that a research article has received online. Clicking on the donut icon will load a page at altmetric.com with additional details about the score and the social media presence for the given article. Find more information on the Altmetric Attention Score and how the score is calculated.
Recommended Articles
Abstract
Figure 1
Figure 1. Scanning electron microscopy (SEM) images of porous structures (∼50 nm thickness) obtained at different oxygen plasma power exposure times of the nonswelled PS-b-P4VP (75k-b-25k) template infiltrated with TMA to plasma and oxygen pressures. The structures were obtained by five SIS cycles processed as follows: (a) at 3 Torr oxygen, 100 W plasma for 20 s of exposure; (b) at 6 Torr oxygen, 100 W plasma for 60 s of exposure; (c) at 6 Torr oxygen, 200 W plasma for 20 s of exposure; (d) at 6 Torr oxygen, 200 W plasma for 60 s of exposure; (e) at 3 Torr oxygen, 300 W plasma for 20 s of exposure; (f) at 6 Torr oxygen, 300 W plasma for 20 s of exposure.
Figure 2
Figure 2. (a) No swelling FTIR delta absorbance measurements for comparison of the effect of thermal SIS and PE SIS when performed on 50 nm thick PS-b-P4VP (75k-b-25k). All of the spectra are referenced to initial as-spin-coated PS-b-P4VP. (b) Swelling FTIR absorbance measurements for swelling induced modifications in the polymer during thermal SIS and PE SIS. Swelling was performed in ethanol at 70 °C for 1 h.
Figure 3
Figure 3. FTIR delta absorbance measurements for comparison of the effect of water-based thermal SIS and PE SIS when infiltrating 50 nm thick PMMA polymer. All of the spectra are referenced to initial as-spin-coated PMMA.
Figure 4
Figure 4. GISAXS analysis of the nonswelled PS-b-P4VP (75k-b-25k) polymer infiltrated with different numbers of PE SIS cycles (300 W, 20 s of oxygen plasma). GISAXS image of one PE SIS sample (a) and horizontal linecuts (b) of one through five SIS samples are presented. Linecuts are made at qz ∼0.035 Å–1 in (a) and scaled arbitrarily for clarity. No noticeable difference in the resulting films deposited with one, two, three, and five PE SIS cycles is observed. SEM images of the films grown with (c) one cycle and with (d) five cycles are included as well. Porosity of the samples is in the 70–80% range.
Figure 5
Figure 5. SEM images of porous alumina films grown by the PE SIS infiltration (five SIS cycles) of PS-b-P4VP polymers (50 nm thick polymers swelled in ethanol at 70 °C for 1 h) with different volume fraction of polystyrene: (a) 75k-b-25k, (b) 25k-b-25.5k, and (c) 24k-b-51k. The thickness of the resulting alumina films is in the range of 80–100 nm with porosity varying from 30% for 24k-b-51k PS-b-P4VP (∼68:32 concentration of polar/nonpolar domains) polymer to 50 and 70% for 25k-b-25.5k (∼50:50 concentration of polar/nonpolar domains) and 75k-b-25k (25:75 concentration of polar/nonpolar domains) polymers correspondingly.
Figure 6
Figure 6. Thick nanoporous films from PS-b-P4VP (75k-b-25k) polymer. (a) Before complete polymer removal, the film demonstrates a charging effect and thickness of ∼11.1 ± 1.8 μm. (b) After complete removal of the polymer by burning, the porous structure is observed and the resulting thickness of the film is ∼5.6 ± 0.4 μm.
Figure 7
Figure 7. (a) Transmission electron microscopy (TEM) image of cesium lead bromide (CLB) nanoparticles used for photoluminescence tests. (b) Schematic of the protective alumina on top of the NP system is included. (c) Schematic of the highly porous alumina on top of the NP system is included. (d) Photoluminescence data after exposure to humid environment for 5 days for cesium lead bromide perovskite nanoparticles unprotected and covered with alumina deposited by: 100 of PE ALD cycles, 100 of water ALD cycles, and 5 PE SIS of PS-b-P4VP. Results indicate higher photoluminescence response for nanoparticles protected with 100 PE ALD.
References
This article references 42 other publications.
- 1Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. A Route to Nanoscopic Materials via Sequential Infiltration Synthesis on Block Copolymer Templates ACS Nano 2011, 5, 4600– 4606 DOI: 10.1021/nn20032341https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3MXmsFGhtro%253D&md5=8b9b1aee3295b8fc79b05cf2c5a32d7cA Route to Nanoscopic Materials via Sequential Infiltration Synthesis on Block Copolymer TemplatesPeng, Qing; Tseng, Yu-Chih; Darling, Seth B.; Elam, Jeffrey W.ACS Nano (2011), 5 (6), 4600-4606CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Sequential infiltration synthesis (SIS), combining stepwise mol. assembly reactions with self-assembled block copolymer (BCP) substrates, provides a new strategy to pattern nanoscopic materials in a controllable way. The selective reaction of a metal precursor with one of the pristine BCP domains is the key step in the SIS process. Here we present a straightforward strategy to selectively modify self-assembled polystyrene-block-poly(Me methacrylate) (PS-b-PMMA) BCP thin films to enable the SIS of a variety of materials including SiO2, ZnO, and W. The selective and controlled interaction of tri-Me aluminum with carbonyl groups in the PMMA polymer domains generates Al-CH3/Al-OH sites inside the BCP scaffold which can seed the subsequent growth of a diverse range of materials without requiring complex block copolymer design and synthesis.
- 2Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Czaplewski, D. A.; Elam, J. W.; Darling, S. B. Etch properties of resists modified by sequential infiltration synthesis J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 2011, 2906FG01 DOI: 10.1116/1.3640758There is no corresponding record for this reference.
- 3Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Elam, J. W.; Darling, S. B. Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis J. Phys. Chem. C 2011, 115, 17725– 17729 DOI: 10.1021/jp205532e3https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3MXpt1Wltb0%253D&md5=f0470194eba408a8e77ccb8594af53bdEnhanced Block Copolymer Lithography Using Sequential Infiltration SynthesisTseng, Yu-Chih; Peng, Qing; Ocola, Leonidas E.; Elam, Jeffrey W.; Darling, Seth B.Journal of Physical Chemistry C (2011), 115 (36), 17725-17729CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)Block copolymer lithog. is a promising approach to massively parallel, high-resoln., and low-cost patterning, but the inherently low etch resistance of polymers has limited its applicability to date. In this work, this challenge is overcome by dramatically increasing the plasma etch contrast of polystyrene-block-poly(Me methacrylate) (PS-b-PMMA) using spatially localized sequential infiltration synthesis (SIS) of alumina. The PMMA phase of self-assembled PS-b-PMMA block copolymer thin films was selectively infiltrated with alumina yielding an inorg. nanostructure mimicking the original block copolymer template that serves directly as a robust etch mask. SIS-modified films are resistant to a variety of plasma etching chemistries enabling the direct patterning of a range of substrates, including silicon, indium tin oxide, and permalloy, without the need for intermediate hard mask layers. This method considerably simplifies the fabrication of nanostructures in technol. relevant materials over large areas with improved transfer fidelity and pushes block copolymer lithog. closer to practical use.
- 4Ding, S.-J.; Wang, P.-F.; Wan, X.-G.; Zhang, D. W.; Wang, J.-T.; Lee, W. W. Effects of thermal treatment on porous amorphous fluoropolymer film with a low dielectric constant Mater. Sci. Eng., B 2001, 83, 130– 136 DOI: 10.1016/S0921-5107(01)00504-94https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXktV2mu7k%253D&md5=55c410f8fecc3f75d4f2633e055abba7Effects of thermal treatment on porous amorphous fluoropolymer film with a low dielectric constantDing, S.-J.; Wang, P.-F.; Wan, X.-G.; Zhang, D. W.; Wang, J.-T.; Lee, W. W.Materials Science & Engineering, B: Solid-State Materials for Advanced Technology (2001), B83 (1-3), 130-136CODEN: MSBTEK; ISSN:0921-5107. (Elsevier Science S.A.)Amorphous fluoropolymer (AF) thin films have been prepd. from Teflon AF 1600 soln. by spin-coating. Scanning electron micrograph (SEM) observations reveal that the film has planar and compact surface without any pinhole, and there are many pores in the matrix. By capacitance-voltage (C-V) and current-voltage (I-V) measurements, the dielec. const. of the AF film is equal to 1.57 at 1 MHz, and breakdown strength is 2.07 MV cm-1. The Fourier transform IR spectroscopy (FTIR) spectra and X-ray diffraction (XRD) patterns of the films show that the films have excellent thermal stability below 400°C, and thermal treatment does not change amorphous nature of the films. XPS spectra reveal decompn. of CF3 groups due to annealing at 400°C, leading to a remarkable increase in CF2 groups. Possible decompn. mechanisms of AF film are also discussed.
- 5Kim, J. K.; Yang, S. Y.; Lee, Y.; Kim, Y. Functional nanomaterials based on block copolymer self-assembly Prog. Polym. Sci. 2010, 35, 1325– 1349 DOI: 10.1016/j.progpolymsci.2010.06.0025https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXhtlens7vF&md5=87e3340a96949eaba37274e7821ceef4Functional nanomaterials based on block copolymer self-assemblyKim, Jin Kon; Yang, Seung Yun; Lee, Youngmin; Kim, YoungsukProgress in Polymer Science (2010), 35 (11), 1325-1349CODEN: PRPSB8; ISSN:0079-6700. (Elsevier Ltd.)A review. Block copolymers have received considerable attention as a promising platform for the synthesis of nanomaterials and fabrication of nanostructures because of their self-assembling nature to form periodically ordered structures in the nanometer-scale range. By controlling the compn. and architecture of individual block components, a variety of nanoscale morphologies can be obtained. After a brief overview of the phase behavior of block copolymers, we highlight recent advances in the fabrication of various functional nanomaterials based on block copolymer of self-assembly and their potential applications. Future perspectives on block copolymers are briefly mentioned.
- 6Qi, Z.-M.; Honma, I.; Zhou, H. Nanoporous leaky waveguide based chemical and biological sensors with broadband spectroscopy Appl. Phys. Lett. 2007, 90011102 DOI: 10.1063/1.2424643There is no corresponding record for this reference.
- 7Buskens, P.; Burghoorn, M.; Mourad, M. C. D.; Vroon, Z. Antireflective Coatings for Glass and Transparent Polymers Langmuir 2016, 32, 6781– 6793 DOI: 10.1021/acs.langmuir.6b004287https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XotFemsr0%253D&md5=438f949fdfeb9f9afd52debce1726b64Antireflective Coatings for Glass and Transparent PolymersBuskens, Pascal; Burghoorn, Marieke; Mourad, Maurice Christian Danho; Vroon, ZegerLangmuir (2016), 32 (27), 6781-6793CODEN: LANGD5; ISSN:0743-7463. (American Chemical Society)Antireflective coatings (ARCs) are applied to reduce surface reflections. We review coatings that reduce the reflection of the surface of the transparent substrates float glass, polyethylene terephthalate, poly(Me methacrylate), and polycarbonate. Three main coating concepts exist to lower the reflection at the interface of a transparent substrate and air: multilayer interference coatings, graded index coatings, and quarter-wave coatings. We introduce and discuss these three concepts, and zoom in on porous quarter-wave coatings comprising colloidal particles. We extensively discuss the four routes for introducing porosity in quarter-wave coatings through the use of colloidal particles, which have the highest potential for application: (1) packing of dense nanospheres, (2) integration of voids through hollow nanospheres, (3) integration of voids through sacrificial particle templates, and (4) packing of nonspherical nanoparticles. Finally, we address the remaining challenges in the field of ARCs, and elaborate on potential strategies for future research in this area.
- 8Guldin, S.; Kohn, P.; Stefik, M.; Song, J.; Divitini, G.; Ecarla, F.; Ducati, C.; Wiesner, U.; Steiner, U. Self-Cleaning Antireflective Optical Coatings Nano Lett. 2013, 13, 5329– 5335 DOI: 10.1021/nl402832u8https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXhs1WltrbK&md5=1024204a028d98146322a44f711918b5Self-Cleaning Antireflective Optical CoatingsGuldin, Stefan; Kohn, Peter; Stefik, Morgan; Song, Juho; Divitini, Giorgio; Ecarla, Fanny; Ducati, Caterina; Wiesner, Ulrich; Steiner, UllrichNano Letters (2013), 13 (11), 5329-5335CODEN: NALEFD; ISSN:1530-6984. (American Chemical Society)Low-cost antireflection coatings (ARCs) on large optical surfaces are an ingredient-technol. for high-performance solar cells. While nanoporous thin films that meet the zero-reflectance conditions on transparent substrates can be cheaply manufd., their suitability for outdoor applications is limited by the lack of robustness and clean-ability. Here, the authors present a simple method for the manuf. of robust self-cleaning ARCs. The authors strategy relies on the self-assembly of a block-copolymer in combination with silica-based sol-gel chem. and preformed TiO2 nanocrystals. The spontaneous dense packing of copolymer micelles followed by a condensation reaction results in an inverse opal-type silica morphol. that is loaded with TiO2 photocatalytic hot-spots. The very low vol. fraction of the inorg. network allows the optimization of the antireflecting properties of the porous ARC despite the high refractive index of the embedded photocatalytic TiO2 nanocrystals. The resulting ARCs combine high optical and self-cleaning performance and can be deposited onto flexible plastic substrates.
- 9Kennedy, S. R.; Brett, M. J. Porous broadband antireflection coating by glancing angle deposition Appl. Opt. 2003, 42, 4573– 4579 DOI: 10.1364/AO.42.0045739https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A280%3ADC%252BD3szotVKmug%253D%253D&md5=a4b335f00463df10e3d0b4951cf043b4Porous broadband antireflection coating by glancing angle depositionKennedy Scott R; Brett Michael JApplied optics (2003), 42 (22), 4573-9 ISSN:1559-128X.We deposited graded-index SiO2 films using glancing angle deposition to produce high-transmission antireflection coatings on glass. Because of the accurate control over the thin-film microstructure provided by this technique, we were able to create graded densities with a Gaussian profile resulting in transmission values greater than 99.9% for a single-layer interface with bandwidths up to 460 nm. The graded-index layer also provides low reflectance at nonnormal angles of incidence with transmission values degrading little for incidence angles up to 30 degrees.
- 10Kumeria, T.; Santos, A.; Rahman, M. M.; Ferre-Borrull, J.; Marsal, L. F.; Losic, D. Advanced Structural Engineering of Nanoporous Photonic Structures: Tailoring Nanopore Architecture to Enhance Sensing Properties ACS Photonics 2014, 1, 1298– 1306 DOI: 10.1021/ph500316u10https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhvFKqtb%252FI&md5=3dcb2d31f90aa87ede7ad62538c1ea27Advanced Structural Engineering of Nanoporous Photonic Structures: Tailoring Nanopore Architecture to Enhance Sensing PropertiesKumeria, Tushar; Santos, Abel; Rahman, Mohammad Mahbubur; Ferre-Borrull, Josep; Marsal, Lluis F.; Losic, DusanACS Photonics (2014), 1 (12), 1298-1306CODEN: APCHD5; ISSN:2330-4022. (American Chemical Society)In this study, we demonstrate that an optimal design of the pore geometry and shape of sensing platforms based on nanoporous anodic alumina (NAA) photonic structures is crit. to develop optical sensors with improved capabilities. To this end, two types of NAA photonic structures featuring different pore geometries (i.e., pore lengths and diams.) and shapes (i.e., straight and modulated pores) were produced, and their optical characteristics were assessed systematically by reflectometric interference spectroscopy. The geometric features (i.e., pore lengths, diams., and shapes) were systematically modified to establish the optimization paths for the sensitivity, low limit of detection, and linearity of these optical sensing platforms. The obtained results reveal that an optimal design of these nanoporous photonic structures can enhance their sensitivity, achieve a lower limit of detection, and improve their linearity for both nonspecific and specific detection of analytes. Therefore, as this study demonstrates, the rational design of optical nanoporous sensing platforms is crit. in the development of reliable, sensitive, robust, inexpensive, and portable optical systems for a broad range of sensing applications.
- 11Ju, H.; Zhang, X.; Wang, J. Biosensors Based on Nanoporous Materials. In NanoBiosensing: Principles, Development and Application; Springer: New York, NY, 2011; pp 171– 205.There is no corresponding record for this reference.
- 12Broom, D. P.; Webb, C. J.; Hurst, K. E.; Parilla, P. A.; Gennett, T.; Brown, C. M.; Zacharia, R.; Tylianakis, E.; Klontzas, E.; Froudakis, G. E.; Steriotis, T. A.; Trikalitis, P. N.; Anton, D. L.; Hardy, B.; Tamburello, D.; Corgnale, C.; van Hassel, B. A.; Cossement, D.; Chahine, R.; Hirscher, M. Outlook and challenges for hydrogen storage in nanoporous materials Appl. Phys. A 2016, 122, 151 DOI: 10.1007/s00339-016-9651-4There is no corresponding record for this reference.
- 13Xi, J. Q.; Kim, J. K.; Schubert, E. F. Silica nanorod-array films with very low refractive indices Nano Lett. 2005, 5, 1385– 1387 DOI: 10.1021/nl050698kThere is no corresponding record for this reference.
- 14Xi, J. Q.; Schubert, M. F.; Kim, J. K.; Schubert, E. F.; Chen, M. F.; Lin, S. Y.; Liu, W.; Smart, J. A. Optical thin-film materials with low refractive index for broadband elimination of Fresnel reflection Nat. Photonics 2007, 1, 176– 179 DOI: 10.1038/nphoton.2007.2614https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD2sXjsFCgt70%253D&md5=c45beebd4662dc1d2ed1551767ada079Optical thin-film materials with low refractive index for broadband elimination of Fresnel reflectionXi, J.-Q.; Schubert, Martin F.; Kim, Jong Kyu; Schubert, E. Fred; Chen, Minfeng; Lin, Shawn-Yu; Liu, W.; Smart, J. A.Nature Photonics (2007), 1 (3), 176-179CODEN: NPAHBY; ISSN:1749-4885. (Nature Publishing Group)In 1880, by studying light passing through Earth's atm., Lord Rayleigh math. demonstrated that graded-refractive-index layers have broadband antireflection characteristics. Graded-index coatings with different index profiles have been investigated for broadband antireflection properties, particularly with air as the ambient medium. However, because of the unavailability of optical materials with very low refractive indexes that closely match the refractive index of air, such broadband antireflection coatings have not been realizable. Here we report the fabrication of TiO2 and SiO2 graded-index films deposited by oblique-angle deposition, and, for the first time, we demonstrate their potential for antireflection coatings by virtually eliminating Fresnel reflection from an AlN-air interface over a broad range of wavelengths. This is achieved by controlling the refractive index of the TiO2 and SiO2 nanorod layers, down to a min. value of n = 1.05 in the case of the latter, the lowest value so far reported.
- 15Zou, L.; Li, X.; Zhang, Q.; Shen, J. An Abrasion-Resistant and Broadband Antireflective Silica Coating by Block Copolymer Assisted Sol-Gel Method Langmuir 2014, 30, 10481– 10486 DOI: 10.1021/la502397eThere is no corresponding record for this reference.
- 16Muth, J.; Poggie, M.; Kulesha, G.; Meneghini, R. M. Novel Highly Porous Metal Technology in Artificial Hip and Knee Replacement: Processing Methodologies and Clinical Applications JOM 2013, 65, 318– 325 DOI: 10.1007/s11837-012-0528-516https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3sXjs1OltQ%253D%253D&md5=06c599b5264e8b9da2d4107c04c72088Novel Highly Porous Metal Technology in Artificial Hip and Knee Replacement: Processing Methodologies and Clinical ApplicationsMuth, John; Poggie, Matthew; Kulesha, Gene; Michael Meneghini, R.JOM (2013), 65 (2), 318-325CODEN: JOMMER; ISSN:1047-4838. (Springer)A review. Hip and knee replacement can dramatically improve a patient's quality of life through pain relief and restored function. Fixation of hip and knee replacement implants to bone is crit. to the success of the procedure. A variety of roughened surfaces and three-dimensional porous surfaces have been used to enhance biol. fixation on orthopedic implants. Recently, highly porous metals have emerged as versatile biomaterials that may enhance fixation to bone and are suitable to a no. of applications in hip and knee replacement surgery. This article provides an overview of several processes used to create these implant surfaces.
- 17Ryan, G.; Pandit, A.; Apatsidis, D. P. Fabrication methods of porous metals for use in orthopaedic applications Biomaterials 2006, 27, 2651– 2670 DOI: 10.1016/j.biomaterials.2005.12.00217https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XnvVWktQ%253D%253D&md5=57136abcf6de89c63183febc7abee803Fabrication methods of porous metals for use in orthopaedic applicationsRyan, Garrett; Pandit, Abhay; Apatsidis, Dimitrios PanagiotisBiomaterials (2006), 27 (13), 2651-2670CODEN: BIMADU; ISSN:0142-9612. (Elsevier Ltd.)A review. Implant stability is not only a function of strength but also depends on the fixation established with surrounding tissues [Robertson DM, Pierre L, Chahal R. Preliminary observations of bone ingrowth into porous materials. J Biomed Mater Res 1976;10:335-44]. In the past, such stability was primarily achieved using screws and bone cements. However, more recently, improved fixation can be achieved by bone tissue growing into and through a porous matrix of metal, bonding in this way the implant to the bone host. Another potentially valuable property of porous materials is their low elastic modulus. Depending on the porosity, moduli can even be tailored to match the modulus of bone closer than solid metals can, thus reducing the problems assocd. with stress shielding. Finally, extensive body fluid transport through the porous scaffold matrix is possible, which can trigger bone ingrowth, if substantial pore interconnectivity is established [Cameron HU, Macnab I, Pilliar RM. A porous metal system for joint replacement surgery. Int J Artif Organs 1978;1:104-9; Head WC, Bauk DJ, Emerson Jr RH. Titanium as the material of choice for cementless femoral components in total hip arthroplasty. Clin Orthop 1995;85-90]. Over the years, a variety of fabrication processes were developed, resulting in porous implant substrates that can address unresolved clin. problems. The advantages of metals exhibiting surface or bulk porosity have led researchers to conduct systematic research aimed at clarifying the fundamental aspects of interactions between porous metals and hard tissue. This review summarizes all known methods for fabricating such porous metallic scaffolds.
- 18Skeldon, P.; Wang, H. W.; Thompson, G. E. Formation and characterization of self-lubricating MoS2 precursor films on anodized aluminium Wear 1997, 206, 187– 196 DOI: 10.1016/S0043-1648(96)07350-418https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK2sXjs1SqsrY%253D&md5=37df3f5709cac8da2573a4e74888ee3dFormation and characterization of self-lubricating MoS2 precursor films on anodized aluminumSkeldon, P.; Wang, H. W.; Thompson, G. E.Wear (1997), 206 (1,2), 187-196CODEN: WEARAH; ISSN:0043-1648. (Elsevier)A duplex anodizing process was used to form self-lubricating MoS2 precursor films on aluminum. This encompasses an initial formation of a porous alumina film on aluminum, by anodizing in sulfuric acid, and a subsequent development of the MoS2 precursors within the pores, by another anodizing treatment in ammonium tetrathiomolybdate electrolyte. The films were then fully examd. by optical and electron microscopy, and energy dispersive X-ray anal. The lubricant was also studied using X-ray diffraction, XPS, and selected area electron diffraction. Furthermore, the incorporation process of the solid lubricant within the pores is elucidated, and a model advanced, on the basis of the features of the V-t curves of re-anodizing and the detd. morphol., microstructure and compn. of the film and lubricant. Finally, the wear properties and microhardness of the film are briefly reported.
- 19Hiratsuka, K.; Asakawa, M.; Funakoshi, A.; Takaya, M. Effect of Impregnation of Iodine Complex on Friction of Anodic Oxide of Aluminum Tribol. Lett. 2002, 13, 77– 80 DOI: 10.1023/A:102019643080719https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD38XmvVOrsLo%253D&md5=bc526285379497a18d19e0705cf743beEffect of impregnation of iodine complex on friction of anodic oxide of aluminumHiratsuka, K.; Asakawa, M.; Funakoshi, A.; Takaya, M.Tribology Letters (2002), 13 (2), 77-80CODEN: TRLEFS; ISSN:1023-8883. (Kluwer Academic/Plenum Publishers)Polyvinyl pyrrolidone-iodine complex (PVP-I) mols. were impregnated into the anodic oxide of an aluminum disk specimen. It was rubbed against a silicon nitride ball specimen using a ball-on-disk type friction test rig. Over the limited range of parameters studied (load: 0.2-1.0 N, sliding velocity: 0.6 mm/s, and sliding distance: 1-7 m), the coeff. of friction decreased to a value as low as 0.01 from values of 0.3 to 0.7 for the anodic oxide surface. Single-crystal iodine rubbed against silicon nitride showed a coeff. of friction of 0.1. The low coeff. of friction is attributed to the thin PVP-I film on the relatively hard anodic oxide. The mechanism of coeff. of friction redn. is the same as that of a thin soft film on a hard substrate.
- 20Takaya, M.; Hashimoto, K.; Toda, Y.; Maejima, M. Novel tribological properties of anodic oxide coating of aluminum impregnated with iodine compound Surf. Coat. Technol. 2003, 169–170, 160– 162 DOI: 10.1016/S0257-8972(03)00218-420https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3sXjvFWktbk%253D&md5=71092f2d44da539149ebdd551cab5dafNovel tribological properties of anodic oxide coating of aluminum impregnated with iodine compoundTakaya, M.; Hashimoto, K.; Toda, Y.; Maejima, M.Surface and Coatings Technology (2003), 169-170 (), 160-162CODEN: SCTEEJ; ISSN:0257-8972. (Elsevier Science B.V.)Several iodine compds. are well known as solid-state lubricants in the halogen family, however, satisfactory results of their use in the manufg. industry have not been reported. Subsequently the anodic oxide coating of Al impregnated with I2 compd. in the concrete inclusion complex with I2 was prepd. successfully by an electrochem. method and tribol. properties of obtained anodic oxide coating were investigated. Iodine compd. was found in micropores as 0.1 % I and formed iodophor of an amorphous structure. The tribol. properties of obtained anodic oxide coating were estd. by friction and wear testing. The yielded coeff. of dynamic friction of a sample with iodine compd. was < 0.1. Obtained anodic oxide coating impregnated with PTFE, namely TUFRAM. The coeffs. of dynamic friction of obtained anodic coating ADC12 Al die casting or A6061 Al alloy have proved to be lower in comparison with thin-film Sn on anodic oxide coating by electroplating. From these results, the impregnation of I2 compds. in the micropores of anodic oxide coating has proved to lower the coeff. of dynamic friction. We think this phenomenon originates from the orthorhombic system and lamellar structures of the I2 compd. used this time, which are well known as solid lubricants.
- 21Jackson, E. A.; Hillmyer, M. A. Nanoporous membranes derived from block copolymers: from drug delivery to water filtration ACS Nano 2010, 4, 3548– 3553 DOI: 10.1021/nn101400621https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXptFOrsLw%253D&md5=4a15249f94179c9f3759623a5ec803bfNanoporous Membranes Derived from Block Copolymers: From Drug Delivery to Water FiltrationJackson, Elizabeth A.; Hillmyer, Marc A.ACS Nano (2010), 4 (7), 3548-3553CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. With nanoscale pores, high porosity, narrow pore size distributions, and tunable chem. and mech. properties, block copolymers hold tremendous potential as robust, efficient, and highly selective sepn. membranes. Recent work by Yang et al. illustrates how block copolymers can be employed in the prepn. of membranes for use in controlled, long-term, protein-delivery devices. Their work demonstrates that highly efficient and tunable sepns. are possible with block copolymer membranes. Although significant progress over the past 10 years has advanced the quality, efficacy, and applicability of such membranes, more work is required before benefits are realized for other demanding applications such as water purifn.
- 22Wei, Q.; Xiong, F.; Tan, S.; Huang, L.; Lan, E. H.; Dunn, B.; Mai, L. Porous One-Dimensional Nanomaterials: Design, Fabrication and Applications in Electrochemical Energy Storage Adv. Mater. 2017, 291602300 DOI: 10.1002/adma.201602300There is no corresponding record for this reference.
- 23Chou, S. Y.; Krauss, P. R.; Renstrom, P. J. Imprint Lithography with 25-Nanometer Resolution Science 1996, 272, 85– 87 DOI: 10.1126/science.272.5258.8523https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK28XitVKkt7Y%253D&md5=95c4dc5101a4d807d9cbef6a4edac421Imprint lithography with 25-nanometer resolutionChou, Stephen Y.; Krauss, Peter R.; Renstrom, Preston J.Science (Washington, D. C.) (1996), 272 (5258), 85-7CODEN: SCIEAS; ISSN:0036-8075. (American Association for the Advancement of Science)A high-throughput lithog. method with 25-nm resoln. and smooth vertical sidewalls is proposed and demonstrated. The technique uses compression molding to create a thickness contrast pattern in a thin resist film carried on a substrate, followed by anisotropic etching to transfer the pattern through the entire resist thickness. Metal patterns with a feature size of 25 nm and a period of 70 nm were fabricated with the use of resist templates created by imprint lithog. in combination with a lift-off process. With further development, imprint lithog. should allow fabrication of sub-10-nm structures and may become a com. viable technique for manufg. integrated circuits and other nanodevices.
- 24Biswas, M.; Libera, J. A.; Darling, S. B.; Elam, J. W. New Insight into the Mechanism of Sequential Infiltration Synthesis from Infrared Spectroscopy Chem. Mater. 2014, 26, 6135– 6141 DOI: 10.1021/cm502427q24https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhslGkt7fK&md5=1694168ec6e5258ff02fa0a9c40a458cNew Insight into the Mechanism of Sequential Infiltration Synthesis from Infrared SpectroscopyBiswas, Mahua; Libera, Joseph A.; Darling, Seth B.; Elam, Jeffrey W.Chemistry of Materials (2014), 26 (21), 6135-6141CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Sequential infiltration synthesis (SIS) has been recently demonstrated to increase the etch resistance of optical, e-beam, and block copolymer lithog. resists for sub-50 nm pattern transfer. Although SIS can dramatically enhance pattern transfer relevant to device applications, the complex processes involved in SIS are not clearly understood. Fundamental knowledge of the chem. underlying SIS is necessary to ensure a high degree of perfection in large-scale(coating process) lithog. To this end, we performed in situ Fourier transform IR (FTIR) spectroscopic measurements during the SIS of Al2O3 using trimethylaluminum (TMA) and H2O into poly(Me methacrylate) (PMMA). The FTIR results revealed that TMA reacts quickly with PMMA to form an unstable complex. The subsequent conversion of this intermediate complex into stable Al-O linkages is slow and must compete with rapid TMA desorption. We support this interpretation of the FTIR data using d. functional theory to calc. plausible structures for the unstable TMA-PMMA complex and the covalently linked species. As a consequence of this two-step reaction between TMA and PMMA, the detailed history of the TMA exposure becomes crit. to achieving reliable patterns in SIS lithog. We demonstrate this using SEM to image the patterns resulting from SIS treatment of block copolymer films under different TMA exposure conditions. This better understanding of the SIS reaction dynamics should improve reliability in SIS lithog. as well as other SIS applications.
- 25Biswas, M.; Libera, J. A.; Darling, S. B.; Elam, J. W. Kinetics for the Sequential Infiltration Synthesis of Alumina in Poly(methyl methacrylate): An Infrared Spectroscopic Study J. Phys. Chem. C 2015, 119, 14585– 14592 DOI: 10.1021/jp511939j25https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXmvV2huw%253D%253D&md5=9f63e1e329c8932fd86c0668030ffb85Kinetics for the Sequential Infiltration Synthesis of Alumina in Poly(methyl methacrylate): An Infrared Spectroscopic StudyBiswas, Mahua; Libera, Joseph A.; Darling, Seth B.; Elam, Jeffrey W.Journal of Physical Chemistry C (2015), 119 (26), 14585-14592CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)Sequential infiltration synthesis (SIS) is a method for growing inorg. materials within polymers in an atomically controlled fashion. This technique can increase the etch resistance of optical, electron-beam, and block copolymer (BCP) lithog. resists and is also a flexible strategy for nanomaterials synthesis. Despite this broad utility, the kinetics of SIS remain poorly understood, and this knowledge gap must be bridged to gain firm control over the growth of inorg. materials inside polymer films at a large scale. In this paper, we explore the reaction kinetics for Al2O3 SIS in PMMA using in situ FTIR spectroscopy. First, we establish the kinetics for satn. adsorption and desorption of tri-Me aluminum (TMA) in PMMA over a range of PMMA film thicknesses deposited on silicon substrates. These observations guide the selection of TMA dose and purge times during SIS lithog. to achieve robust org./inorg. structures. Next, we examine the effects of TMA desorption on BCP lithog. by performing SIS on silicon surfaces coated with polystyrene-block-poly(Me methacrylate) films. After etching the org. components, the substrates are examd. using SEM to evaluate the resulting Al2O3 patterns. Finally, we examine the effects of temp. on Al2O3 SIS in PMMA to elucidate the infiltration kinetics. The insights provided by these measurements will help extend SIS lithog. to larger substrate sizes for eventual commercialization and expand our knowledge of precursor-polymer interactions that will benefit the SIS of a wide range of inorg. materials in the future.
- 26Peng, Q.; Tseng, Y.-C.; Darling, S. B.; Elam, J. W. Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers Adv. Mater. 2010, 22, 5129– 5133 DOI: 10.1002/adma.20100246526https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3cXhsFSgs7bF&md5=dcc3287258bc7dfe69ba28af6e2d5c7fNanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block CopolymersPeng, Qing; Tseng, Yu-Chih; Darling, Seth B.; Elam, Jeffrey W.Advanced Materials (Weinheim, Germany) (2010), 22 (45), 5129-5133CODEN: ADVMEW; ISSN:0935-9648. (Wiley-VCH Verlag GmbH & Co. KGaA)A new method is established for the controllable prepn. of patterned nanostructures of a broad variety of materials with tunable characteristic feature sizes and shaped by utilizing the confluence of unique capabilities inherent to at. layer deposition, block copolymer self-assembly, and selective interaction of metal precursors with polymer units. Therefore, patterned functional materials could be synthesized onto a broad range of substrates by carefully designing block copolymers and selecting ALD parameters.
- 27Suh, H. S.; Kim, D. H.; Moni, P.; Xiong, S.; Ocola, L. E.; Zaluzec, N. J.; Gleason, K. K.; Nealey, P. F. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat Nat. Nanotechnol. 2017, 12, 575 DOI: 10.1038/nnano.2017.3427https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXltVWntr0%253D&md5=df46972fa59eb29a8325d671adc809f3Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapor-phase deposited topcoatSuh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.Nature Nanotechnology (2017), 12 (6), 575-581CODEN: NNAABX; ISSN:1748-3387. (Nature Publishing Group)Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodol. to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chem. vapor deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chem. patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapor-phase deposition, applicability to high-resoln. BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.
- 28Barry, E.; Mane, A. U.; Libera, J. A.; Elam, J. W.; Darling, S. B. Advanced oil sorbents using sequential infiltration synthesis J. Mater. Chem. A 2017, 5, 2929– 2935 DOI: 10.1039/C6TA09014A28https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXps12isg%253D%253D&md5=4a8aa3186b5cdf34fd63ecaee5032c33Advanced oil sorbents using sequential infiltration synthesisBarry, Edward; Mane, Anil U.; Libera, Joseph A.; Elam, Jeffrey W.; Darling, Seth B.Journal of Materials Chemistry A: Materials for Energy and Sustainability (2017), 5 (6), 2929-2935CODEN: JMCAET; ISSN:2050-7496. (Royal Society of Chemistry)Disasters on the scale of the Exxon Valdez and Deepwater Horizon serve as harrowing reminders of the devastating effects uncontrolled oil spills have on the environment. Skimming, burning, and dispersing oil are only partially effective and carry their own ecol. impacts. An enticing alternative strategy involves oil sorbents capable of efficient extn. of oil from water bodies, which in turn necessitates the design and implementation of novel materials. Here, we extend methods of sequential infiltration synthesis (SIS) traditionally only applied to nanometer scale thin films to create oil sorbents based on macroscopic, com. available polymeric foams. Targeting superoleophilic and superhydrophobic chemistries, we demonstrate the propensity of SIS-based modifications in oil spill remediation and demonstrate its efficacy in crude oil sorption in model seawater. We find crude oil sorption on the order of 30 and 90 times the initial foam wt. for polyurethane and polyimide, resp., both with highly favorable reusability.
- 29Berman, D.; Guha, S.; Lee, B.; Elam, J. W.; Darling, S. B.; Shevchenko, E. V. Sequential Infiltration Synthesis for the Design of Low Refractive Index Surface Coatings with Controllable Thickness ACS Nano 2017, 11, 2521– 2530 DOI: 10.1021/acsnano.6b0836129https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhvVant7w%253D&md5=9175761d9664352d2931b17633ee0980Sequential Infiltration Synthesis for the Design of Low Refractive Index Surface Coatings with Controllable ThicknessBerman, Diana; Guha, Supratik; Lee, Byeongdu; Elam, Jeffrey W.; Darling, Seth B.; Shevchenko, Elena V.ACS Nano (2017), 11 (3), 2521-2530CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Control over refractive index and thickness of surface coatings is central to the design of low refraction films used in applications ranging from optical computing to antireflective coatings. Gas-phase sequential infiltration synthesis (SIS) is introduced as a robust, powerful, and efficient approach to deposit conformal coatings with very low refractive indexes. The refractive indexes of inorg. coatings can be efficiently tuned by the no. of cycles used in the SIS process, compn., and selective swelling of the of the polymer template. The refractive index of Al2O3 can be lowered from 1.76 down to 1.1 using this method. The thickness of the Al2O3 coating can be efficiently controlled by the swelling of the block copolymer template in EtOH at elevated temp., thereby enabling deposition of both single-layer and graded-index broadband antireflective coatings. Using this technique, Fresnel reflections of glass can be reduced to ≥0.1% under normal illumination over a broad spectral range.
- 30Prosek, T.; Taube, M.; Dubois, F.; Thierry, D. Application of automated electrical resistance sensors for measurement of corrosion rate of copper, bronze and iron in model indoor atmospheres containing short-chain volatile carboxylic acids Corros. Sci. 2014, 87, 376– 382 DOI: 10.1016/j.corsci.2014.06.04730https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXhtFWntbfI&md5=2b2f52c7e8fd794269ccc2e432ebda64Application of automated electrical resistance sensors for measurement of corrosion rate of copper, bronze and iron in model indoor atmospheres containing short-chain volatile carboxylic acidsProsek, Tomas; Taube, Michelle; Dubois, Francois; Thierry, DominiqueCorrosion Science (2014), 87 (), 376-382CODEN: CRRSAA; ISSN:0010-938X. (Elsevier Ltd.)The corrosion rate of Cu and bronze Cu-8%Sn increased rapidly when the concn. of formic or HOAc in air reached ∼300 ppb at 80% relative humidity (RH) and a temp. of 20°. It decreased slowly during the several days after pollutant removal due to the slow rate of pollutant desorption from the metal surfaces. Corrosion of these metals was barely affected by the acids at RH up to 60%. For Fe, the crit. concn. of formic acid in air which led to surface activation at 80% RH was 1000-1590 ppb.
- 31Ylivaara, O. M. E.; Liu, X.; Kilpi, L.; Lyytinen, J.; Schneider, D.; Laitinen, M.; Julin, J.; Ali, S.; Sintonen, S.; Berdova, M.; Haimi, E.; Sajavaara, T.; Ronkainen, H.; Lipsanen, H.; Koskinen, J.; Hannula, S.-P.; Puurunen, R. L. Aluminum oxide from trimethylaluminum and water by atomic layer deposition: The temperature dependence of residual stress, elastic modulus, hardness and adhesion Thin Solid Films 2014, 552, 124– 135 DOI: 10.1016/j.tsf.2013.11.11231https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXltVGmtw%253D%253D&md5=586bf956ba9ebcf1600a5ed57e6eef22Aluminum oxide from trimethylaluminum and water by atomic layer deposition. The temperature dependence of residual stress, elastic modulus, hardness and adhesionYlivaara, Oili M. E.; Liu, Xuwen; Kilpi, Lauri; Lyytinen, Jussi; Schneider, Dieter; Laitinen, Mikko; Julin, Jaakko; Ali, Saima; Sintonen, Sakari; Berdova, Maria; Haimi, Eero; Sajavaara, Timo; Ronkainen, Helena; Lipsanen, Harri; Koskinen, Jari; Hannula, Simo-Pekka; Puurunen, Riikka L.Thin Solid Films (2014), 552 (), 124-135CODEN: THSFAP; ISSN:0040-6090. (Elsevier B.V.)Use of at. layer deposition (ALD) in microelectromech. systems (MEMS) has increased as ALD enables conformal growth on 3D structures at relatively low temps. For MEMS device design and fabrication, the understanding of stress and mech. properties such as elastic modulus, hardness and adhesion of thin film is crucial. A comprehensive characterization of the stress, elastic modulus, hardness and adhesion of ALD Al2O3 films grown at 110-300° from AlMe3 and water is presented. Film stress was analyzed by wafer curvature measurements, elastic modulus by nanoindentation and surface-acoustic wave measurements, hardness by nanoindentation and adhesion by microscratch test and scanning nanowear. The films were also analyzed by ellipsometry, optical reflectometry, x-ray reflectivity and time-of-flight elastic recoil detection for refractive index, thickness, d. and impurities. The ALD Al2O3 films were under tensile stress in the scale of hundreds of MPa. The magnitude of the stress decreased strongly with increasing ALD temp. The stress was stable during storage in air. Elastic modulus and hardness of ALD Al2O3 satd. to a fairly const. value for growth at 150-300°, while ALD at 110° gave softer films with lower modulus. ALD Al2O3 films adhered strongly on cleaned Si with SiOx termination.
- 32Profijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. M. M. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges J. Vac. Sci. Technol., A 2011, 29050801 DOI: 10.1116/1.360997432https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC3MXhtVyrtrvN&md5=a56a771c4913d50ac2ea166eff4a2cbcPlasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and ChallengesProfijt, H. B.; Potts, S. E.; van de Sanden, M. C. M.; Kessels, W. M. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2011), 29 (5), 050801/1-050801/26CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)A review. Plasma-assisted at. layer deposition (ALD) is an energy-enhanced method for the synthesis of ultra-thin films with A-level resoln. in which a plasma is employed during one step of the cyclic deposition process. The use of plasma species as reactants allows for more freedom in processing conditions and for a wider range of material properties compared with the conventional thermally-driven ALD method. Due to the continuous miniaturization in the microelectronics industry and the increasing relevance of ultra-thin films in many other applications, the deposition method has rapidly gained popularity in recent years, as is apparent from the increased no. of articles published on the topic and plasma-assisted ALD reactors installed. To address the main differences between plasma-assisted ALD and thermal ALD, some basic aspects related to processing plasmas are presented in this review article. The plasma species and their role in the surface chem. are addressed and different equipment configurations, including radical-enhanced ALD, direct plasma ALD, and remote plasma ALD, are described. The benefits and challenges provided by the use of a plasma step are presented and it is shown that the use of a plasma leads to a wider choice in material properties, substrate temp., choice of precursors, and processing conditions, but that the processing can also be compromised by reduced film conformality and plasma damage. Finally, several reported emerging applications of plasma-assisted ALD are reviewed. It is expected that the merits offered by plasma-assisted ALD will further increase the interest of equipment manufacturers for developing industrial-scale deposition configurations such that the method will find its use in several manufg. applications. (c) 2011 American Institute of Physics.
- 33Pinna, N.; Knez, M. Atomic Layer Deposition of Nanostructured Materials; John Wiley & Sons, 2012.There is no corresponding record for this reference.
- 34Ting, Y.-H.; Park, S.-M.; Liu, C.-C.; Liu, X.; Himpsel, F.; Nealey, P. F.; Wendt, A. E. Plasma etch removal of poly (methyl methacrylate) in block copolymer lithography J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom. 2008, 26, 1684– 1689 DOI: 10.1116/1.296643334https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1cXht1CitrnP&md5=a200c90bba0a519b6b6fb8513ef7eab2Plasma etch removal of poly(methyl methacrylate) in block copolymer lithographyTing, Yuk-Hong; Park, Sang-Min; Liu, Chi-Chun; Liu, Xiaosong; Himpsel, F. J.; Nealey, Paul F.; Wendt, Amy E.Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures--Processing, Measurement, and Phenomena (2008), 26 (5), 1684-1689CODEN: JVSTBM; ISSN:1071-1023. (American Institute of Physics)Polystyrene-block-poly(Me methacrylate), (PS-b-PMMA) diblock copolymer is a promising lithog. alternative for nanometer scale features. The two components segregate into nanoscale domains when the polymer soln. is spun on to form a thin film and annealed above the glass transition temps. of both components. Preferential removal of PMMA domains through plasma etching to leave behind a PS mask for subsequent etching of underlying layers is the focus of this work. The quality of the PS mask is characterized by the thickness and lateral dimension of the PS structures after removal of the PMMA, as well as the smoothness of their surfaces. The effects of different plasma chemistries including O2, Ar/O2, Ar, CF4, and CHF3/O2 on etch selectivity and surface/sidewall roughness for PS and PMMA have been characterized. Ar/O2 produced the overall best results for the range of conditions studied. (c) 2008 American Institute of Physics.
- 35Krishnamoorthy, S.; Hinderling, C.; Heinzelmann, H. Nanoscale patterning with block copolymers Mater. Today 2006, 9, 40– 47 DOI: 10.1016/S1369-7021(06)71621-235https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XpslSrt74%253D&md5=266d16d87f47627995996b4e98d49f79Nanoscale patterning with block copolymersKrishnamoorthy, Sivashankar; Hinderling, Christian; Heinzelmann, HarryMaterials Today (Oxford, United Kingdom) (2006), 9 (9), 40-47CODEN: MTOUAN; ISSN:1369-7021. (Elsevier Ltd.)A review. The self-assembly processes of block copolymers offer interesting strategies to create patterns on nanometer length scales. The polymeric constituents, substrate surface properties, and exptl. conditions all offer parameters that allow the control and optimization of pattern formation for specific applications. The authors review how such patterns can be obtained and discuss some potential applications using these patterns as (polymeric) nanostructures or templates, e.g. for nanoparticle assembly. The method offers interesting possibilities in combination with existing high-resoln. lithog. methods, and could become of particular interest in microtechnol. and biosensing.
- 36Choi, H. W.; Woo, H. J.; Hong, W.; Kim, J. K.; Lee, S. K.; Eum, C. H. Structural modification of poly(methyl methacrylate) by proton irradiation Appl. Surf. Sci. 2001, 169–170, 433– 437 DOI: 10.1016/S0169-4332(00)00699-136https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXhtFKksrg%253D&md5=9e2b5fc95cc928174fd1422bb1a54194Structural modification of poly(methyl methacrylate) by proton irradiationChoi, H. W.; Woo, H. J.; Hong, W.; Kim, J. K.; Lee, S. K.; Eum, C. H.Applied Surface Science (2001), 169-170 (), 433-437CODEN: ASUSEE; ISSN:0169-4332. (Elsevier Science B.V.)A report is presented on the structural modification of poly(Me methacrylate) (PMMA) by proton implantation. The implanted PMMA films were characterized by FT-IR attenuated total reflection, Raman, and Rutherford backscattering spectroscopy (RBS), gel-permeation chromatog. (GPC), and surface profiling. The ion fluence of 350 keV protons ranged from 2 × 1014 to 1 × 1015 ions/cm2. The IR and Raman spectra showed the redn. of peaks from the pendent group of PMMA. The change of absorption and compn. was obsd. by UV-visible spectra and RBS, resp. These results showed that the pendent group is readily decompd. and eliminated by proton irradn. Changes in mol. wt. distribution were also measured by GPC and G-value of scission was estd. to be 0.67.
- 37Brinkhuis, R. H. G.; Schouten, A. J. Thin-film behavior of poly(methyl methacrylates). 2. An FT-IR study of Langmuir-Blodgett films of isotactic PMMA Macromolecules 1991, 24, 1496– 1504 DOI: 10.1021/ma00007a01037https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK3MXhsVCnsLg%253D&md5=e41d99ccc45d4a342e8fd0e361003acbThin-film behavior of poly(methyl methacrylates). 2. An FT-IR study of Langmuir-Blodgett films of isotactic PMMABrinkhuis, R. H. G.; Schouten, A. J.Macromolecules (1991), 24 (7), 1496-504CODEN: MAMOBX; ISSN:0024-9297.FTIR investigation of the title films indicated that at high surface pressures the isotactic PMMA was transferred into a cryst. conformation, presumably as double helixes. The films built of this type of Langmuir-Blodgett layers could easily be crystd. further, in contrast to films of amorphous isotactic PMMA. IR techniques were also used to deduce the orientational characteristics of the cryst. structures. These were strongly dependent on the mol. wt. and the monolayer history. Very strongly oriented, highly cryst. thin films could be prepd. starting from Langmuir-Blodgett multilayers.
- 38Namouchi, F.; Smaoui, H.; Fourati, N.; Zerrouki, C.; Guermazi, H.; Bonnet, J. J. Investigation on electrical properties of thermally aged PMMA by combined use of FTIR and impedance spectroscopies J. Alloys Compd. 2009, 469, 197– 202 DOI: 10.1016/j.jallcom.2008.01.14838https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD1MXhtVOmt78%253D&md5=33147d7cb06b97130148f9a17298d383Investigation on electrical properties of thermally aged PMMA by combined use of FTIR and impedance spectroscopiesNamouchi, F.; Smaoui, H.; Fourati, N.; Zerrouki, C.; Guermazi, H.; Bonnet, J. J.Journal of Alloys and Compounds (2009), 469 (1-2), 197-202CODEN: JALCEU; ISSN:0925-8388. (Elsevier B.V.)A review. Effects of thermal aging on elec. properties of polymethyl methacrylate (PMMA) polymer are reported in this paper. PMMA samples are submitted to successive heat-cooling cycles (T max = 45° and T min = 20°) in the ambient air. Different complementary techniques are thus employed to investigate structural modifications, conduction processes and dielec. relaxations. These are the Fourier Transform Infra Red (FTIR) spectroscopy, impedance spectroscopy and current-voltage technique. Results are discussed in terms of FTIR bands intensities, relaxation frequencies and elec. cond. We demonstrated that thermal aging favors oxidn. phenomenon. This causes an increase of free radicals leading to space charge amt. increasing. PMMA polymer presents therefore a less insulating character.
- 39Potts, S. E.; Dingemans, G.; Lachaud, C.; Kessels, W. M. M. Plasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursor J. Vac. Sci. Technol., A 2012, 30021505 DOI: 10.1116/1.368305739https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC38XjtFyht7k%253D&md5=432f3ac8be5ff583a2059ae0aab2fc6cPlasma-enhanced and thermal atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide, [Al(CH3)2(μ-OiPr)]2, as an alternative aluminum precursorPotts, Stephen E.; Dingemans, Gijs; Lachaud, Christophe; Kessels, W. M. M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2012), 30 (2), 021505/1-021505/12CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)The authors have been investigating the use of [Al(CH3)2(μ-OiPr)]2 (DMAI) as an alternative Al precursor to [Al(CH3)3] (TMA) for remote plasma-enhanced and thermal ALD over wide temp. ranges of 25-400 and 100-400 °C, resp. The growth per cycle (GPC) obtained using in situ spectroscopic ellipsometry for plasma-enhanced ALD was 0.7-0.9 A/cycle, generally lower than the >0.9 A/cycle afforded by TMA. In contrast, the thermal process gave a higher GPC than TMA above 250 °C, but below this temp., the GPC decreased rapidly with decreasing temp. Quadrupole mass spectrometry data confirmed that both CH4 and HOiPr were formed during the DMAI dose for both the plasma-enhanced and thermal processes. CH4 and HOiPr were also formed during the H2O dose but combustion-like products (CO2 and H2O) were obsd. during the O2 plasma dose. Rutherford backscattering spectrometry showed that, for temps. >100 °C and >200 °C for plasma-enhanced and thermal ALD, resp., films from DMAI had an O/Al ratio of 1.5-1.6, a H content of ∼5 at. % and mass densities of 2.7-3.0 g cm-3. The film compns. afforded from DMAI were comparable to those from TMA at deposition temps. ≥150 °C. At lower temps., there were differences in O, H, and C incorporation. 30 nm thick Al2O3 films from the plasma-enhanced ALD of DMAI were found to passivate n- and p-type Si floatzone wafers (∼3.5 and ∼2 Ω cm, resp.) with effective carrier lifetimes comparable to those obtained using TMA. Surface recombination velocities of < 3 and < 6 cm s-1 were obtained for the n- and p-type Si, resp. Using these results, the film properties obtained using DMAI and TMA are compared and the mechanisms for the plasma-enhanced and thermal ALD using DMAI are discussed. (c) 2012 American Institute of Physics.
- 40Wang, Y. Nondestructive Creation of Ordered Nanopores by Selective Swelling of Block Copolymers: Toward Homoporous Membranes Acc. Chem. Res. 2016, 49, 1401– 1408 DOI: 10.1021/acs.accounts.6b0023340https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhtVCrs77I&md5=49e138b770f8c6327736a3a9a4b85322Nondestructive Creation of Ordered Nanopores by Selective Swelling of Block Copolymers: Toward Homoporous MembranesWang, YongAccounts of Chemical Research (2016), 49 (7), 1401-1408CODEN: ACHRE4; ISSN:0001-4842. (American Chemical Society)Pores regulate the entry and exit of substances based on the differences in phys. sizes or chem. affinities. Pore uniformity, ordering, and the homogeneity of the surface chem. of the pore walls are vital for maximizing the performance of a porous material because any scattering in these parameters weakens the capability of pores to discriminate foreign substances. Most strategies for the creation of homogeneous pores are destructive, and sacrificial components in the precursor materials must be selectively removed to generate porosities. The incorporation and subsequent removal of the sacrificial components frequently make the pore-making process complicated and inefficient and impose greater uncertainty in the control of the pore homogeneity.Block copolymers (BCPs) have been demonstrated to be promising precursors in the fabrication of highly ordered nanoporous structures. Unfortunately, BCP-derived porosities are also predominantly dependent on destructive pore-making processes (e.g., etching or extn.). To address this problem, we have developed a swelling-based nondestructive strategy. In this swelling process, one simply needs to immerse BCP materials in a solvent selective for the minority blocks for hours. After removing the BCPs from the solvent followed by air drying, pores are generated throughout the BCP materials in the positions where the minority blocks initially dwell. This Account discusses our recent discoveries, new insights, and emerging applications of this burgeoning pore-making method with a focus on the development of ordered porosities in bulk BCP materials.The initial morphol. and orientation of the minority phases in BCPs det. the pore orientation and geometry in the produced porous materials. For nonaligned BCPs, three-dimensionally interconnected pores with sizes scattering in the 10-50 nm range are produced after swelling. There is a morphol. evolution of BCP materials from the initial nonporous structure to the increasingly opened nanoporous intermediates, to interconnected networks of micellar nanofibers, and finally to isolated micellar spheres with increasing degrees of swelling. When the BCP films are aligned perpendicularly or in-plane, selective swelling results in uniform "standing" (perpendicular orientation) and "sleeping" (in-plane orientation) pores, resp. Pore sizes can be tuned by changing mol. wts. of the BCPs and swelling conditions without the loss of pore uniformity. Due to the nondestructive nature of this swelling process, nothing in the BCPs is lost during the pore-forming procedure, and consequently the formed pores can be progressively closed also by selective swelling. Such reversible pore opening/closing can be repeated many times, enabling the application of these materials in drug delivery and intelligent antireflective coatings. The monodispersed pore sizes, straight pore profile, and hydrophilic pore walls particularly favor the application of the porous BCPs in sepns. as homoporous membranes (HOMEs) exhibiting high selectivity, permeability, and inherent stimulus responsiveness.
- 41Buscema, M.; Steele, G. A.; van der Zant, H. S. J.; Castellanos-Gomez, A. The effect of the substrate on the Raman and photoluminescence emission of single-layer MoS2 Nano Res. 2014, 7, 561– 571 DOI: 10.1007/s12274-014-0424-0There is no corresponding record for this reference.
- 42Diroll, B. T.; Nedelcu, G.; Kovalenko, M. V.; Schaller, R. D. High-Temperature Photoluminescence of CsPbX3 (X = Cl, Br, I) Nanocrystals Adv. Funct. Mater. 2017, 271606750 DOI: 10.1002/adfm.201606750There is no corresponding record for this reference.
Supporting Information
Supporting Information
The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsomega.7b01314.
Rupture of aluminum oxide films during oxygen-plasma-assisted (20 min) polymer removal; dependence of the porosity of synthesized metal oxide films on the polar/nonpolar domain concentration ratio in the swelled PS-b-P4VP polymer; GISAXS data linecut for one PE SIS-deposited aluminum oxide in nonswelled PS-b-p4VP polymer; GISAXS data analysis (PDF)
Terms & Conditions
Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.