Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 PlasmaClick to copy article linkArticle link copied!
- A. MameliA. MameliDepartment of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsMore by A. Mameli
- M. A. VerheijenM. A. VerheijenDepartment of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsMore by M. A. Verheijen
- A. J. M. MackusA. J. M. MackusDepartment of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsMore by A. J. M. Mackus
- W. M. M. KesselsW. M. M. KesselsDepartment of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsMore by W. M. M. Kessels
- F. Roozeboom*F. Roozeboom*E-mail: [email protected]Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsTNO-Holst Centre, High Tech Campus 21, Eindhoven 5656 AE, The NetherlandsMore by F. Roozeboom
Abstract
Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.
Introduction
(i) | the resulting etch profile: anisotropic or isotropic, and | ||||
(ii) | the employed surface reactions: plasma-based or purely thermally driven. |
Experimental Section
ZnO Preparation by ALD
ALE Process of ZnO
Analytical Methods
Results and Discussion
ZnO ALE on Planar Substrates
ZnO ALE on 3D Substrates
Proposed Reaction Mechanism
Extension to Other Materials and Etch Selectivity
Merits and Opportunities Provided by the Approach
Conclusions
Supporting Information
The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.8b12767.
Schematic of the ZnO ALE cycle developed in this work; elemental analysis from XPS surface scans of ZnO samples before and after ALE; SEM cross-sectional image of the GaP NW substrate; low-magnification HAADF–STEM image of the ZnO-covered NW; high-magnification HAADF–STEM images; and schematic of possible reaction pathways (PDF)
Terms & Conditions
Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.
Acknowledgments
This work was supported by TNO-Holst Centre. Solliance and the Dutch Province of Noord-Brabant are acknowledged for funding the TEM facility. The authors would like to thank Yizhen Ren and Erik Bakkers for providing the substrate samples with NWs and Valerio Di Palma, Bora Karasulu, and Tahsin Faraz for valuable discussions. Janneke Zeebregts, Caspar van Bommel, Joris Meulendijks, Jeroen van Gerwen, and Cristian van Helvoirt are acknowledged for technical assistance.
References
This article references 51 other publications.
- 1Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9, 8651– 8654, DOI: 10.1021/acsnano.5b05249Google Scholar1https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhsVKlsL3P&md5=a72e3e4f8cc06ec52628c4bbfefd5866Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart PositioningFang, Ming; Ho, Johnny C.ACS Nano (2015), 9 (9), 8651-8654CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. Transistors have already been made three-dimensional (3D), with device channels (i.e., fins in trigate field-effect transistor (FinFET) technol.) that are taller, thinner, and closer together to enhance device performance and lower active power consumption. As device scaling continues, these transistors will require more advanced, fabrication-enabling technologies for the conformal deposition of high-κ dielec. layers on their 3-dimensional channels with accurate position alignment and thickness control down to the subnanometer scale. Among many competing techniques, area-selective at. layer deposition (AS-ALD) is a promising method that is well suited to the requirements without the use of complicated, complementary metal-oxide semiconductor (CMOS)-incompatible processes. However, further progress is limited by poor area selectivity for thicker films formed via a higher no. of ALD cycles as well as the prolonged processing time. In this issue of ACS Nano, Professor Stacy Bent and her research group demonstrate a straightforward self-correcting ALD approach, combining selective deposition with a postprocess mild chem. etching, which enables selective deposition of dielec. films with thicknesses and processing times at least 10 times larger and 48 times shorter, resp., than those obtained by conventional AS-ALD processes. These advances present an important technol. breakthrough that may drive the AS-ALD technique a step closer toward industrial applications in electronics, catalysis, and photonics, etc. where more efficient device fabrication processes are needed.
- 2George, S. M.; Lee, Y. Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. ACS Nano 2016, 10, 4889– 4894, DOI: 10.1021/acsnano.6b02991Google Scholar2https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XotVahsrw%253D&md5=f4af7a0f479ad212cbd127ef7d994db8Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange ReactionsGeorge, Steven M.; Lee, YoungheeACS Nano (2016), 10 (5), 4889-4894CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Thermal at. layer etching (ALE) of Al2O3 and HfO2 using sequential, self-limiting fluorination and ligand-exchange reactions was recently demonstrated using HF and Sn acetylacetonate (Sn(acac)2) as the reactants. This new thermal pathway for ALE represents the reverse of at. layer deposition (ALD) and should lead to isotropic etching. Atomic layer deposition and ALE can together define the at. layer growth and removal steps required for advanced semiconductor fabrication. The thermal ALE of many materials should be possible using fluorination and ligand-exchange reactions. The chem. details of ligand-exchange can lead to selective ALE between various materials. Thermal ALE could produce conformal etching in high-aspect-ratio structures. Thermal ALE could also yield ultrasmooth thin films based on deposit/etch-back methods. Enhancement of ALE rates and possible anisotropic ALE could be achieved using radicals or ions together with thermal ALE.
- 3Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. ACS Nano 2015, 9, 2061– 2070, DOI: 10.1021/nn507277fGoogle Scholar3https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhtFyktLY%253D&md5=d244f60c0c86b3bc40fbd992626dd9b3Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen FluorideLee, Younghee; George, Steven M.ACS Nano (2015), 9 (2), 2061-2070CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)The at. layer etching (ALE) of Al2O3 was demonstrated using sequential, self-limiting thermal reactions with tin(II) acetylacetonate (Sn(acac)2) and hydrogen fluoride (HF) as the reactants. The Al2O3 samples were Al2O3 at. layer deposition (ALD) films grown using trimethylaluminum and H2O. The HF source was HF-pyridine. Al2O3 was etched linearly with at. level precision vs. no. of reactant cycles. The Al2O3 ALE was monitored at temps. from 150 to 250 °C. Quartz crystal microbalance (QCM) studies revealed that the sequential Sn(acac)2 and HF reactions were self-limiting vs. reactant exposure. QCM measurements also detd. that the mass change per cycle (MCPC) increased with temp. from -4.1 ng/(cm2 cycle) at 150 °C to -18.3 ng/(cm2 cycle) at 250 °C. These MCPC values correspond to etch rates from 0.14 Å/cycle at 150 °C to 0.61 Å/cycle at 250 °C based on the Al2O3 ALD film d. of 3.0 g/cm3. X-ray reflectivity (XRR) anal. confirmed the linear removal of Al2O3 and measured an Al2O3 ALE etch rate of 0.27 Å/cycle at 200 °C. The XRR measurements also indicated that the Al2O3 films were smoothed by Al2O3 ALE. The overall etching reaction is believed to follow the reaction Al2O3 + 6Sn(acac)2 + 6HF → 2Al(acac)3 + 6SnF(acac) + 3H2O. In the proposed reaction mechanism, the Sn(acac)2 reactant donates acac to the substrate to produce Al(acac)3. The HF reactant allows SnF(acac) and H2O to leave as reaction products. The thermal ALE of many other metal oxides using Sn(acac)2 or other metal β-diketonates, together with HF, should be possible by a similar mechanism. This thermal ALE mechanism may also be applicable to other materials such as metal nitrides, metal phosphides, metal sulfides and metal arsenides.
- 4Kong, L.; Song, Y.; Kim, J. D.; Yu, L.; Wasserman, D.; Chim, W. K.; Chiam, S. Y.; Li, X. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching. ACS Nano 2017, 11, 10193– 10205, DOI: 10.1021/acsnano.7b04752Google Scholar4https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVKgsrbM&md5=65f7556fcfacaf72156ef14ef20fcab3Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical EtchingKong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, XiulingACS Nano (2017), 11 (10), 10193-10205CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Producing densely packed high aspect ratio In0.53Ga0.47As nanostructures without surface damage is crit. for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compd. semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In0.53Ga0.47As pillars with diams. as small as 200 nm using the damage-free metal-assisted chem. etching (MacEtch) technol. combined with the post-MacEtch digital etching smoothing. The etching mechanism of InxGa1-xAs is explored through the characterization of pillar morphol. and porosity as a function of etching condition and indium compn. The etching behavior of In0.53Ga0.47As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state d. and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In0.53Ga0.47As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temp., and low-cost method for the formation of high-quality In0.53Ga0.47As nanostructures that will potentially enable large-vol. prodn. of In0.53Ga0.47As-based devices including three-dimensional transistors and high-efficiency IR photodetectors.
- 5Pan, D. Z.; Liebmann, L.; Yu, B.; Xu, X.; Lin, Y. Pushing Multiple Patterning in Sub-10 nm. Proceedings of the 52nd Annual Design Automation Conference on—DAC ’15 2015, pp 1– 6.Google ScholarThere is no corresponding record for this reference.
- 6Clark, R.; Tapily, K.; Yu, K.; Hakamata, T.; Consiglio, S.; Meara, D. O.; Wajda, C.; Smith, J.; Leusink, G. Perspective : New Process Technologies Required for Future Devices and Scaling. APL Mater. 2018, 6, 058203, DOI: 10.1063/1.5026805Google Scholar6https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhtVGisr7L&md5=23afc1e8855db73cb2a0d6e2f2017d77Perspective: New process technologies required for future devices and scalingClark, R.; Tapily, K.; Yu, K.-H.; Hakamata, T.; Consiglio, S.; O'Meara, D.; Wajda, C.; Smith, J.; Leusink, G.APL Materials (2018), 6 (5), 058203/1-058203/12CODEN: AMPADS; ISSN:2166-532X. (American Institute of Physics)This paper presents an overview and perspective on processing technologies required for continued scaling of leading edge and emerging semiconductor devices. We introduce the main drivers and trends affecting future semiconductor device scaling and provide examples of emerging devices and architectures that may be implemented within the next 10-20 yr. We summarize multiple active areas of research to explain how future thin film deposition, etch, and patterning technologies can enable 3D (vertical) power, performance, area, and cost scaling. Emerging and new process technologies will be required to enable improved contacts, scaled and future devices and interconnects, monolithic 3D integration, and new computing architectures. These process technologies are explained and discussed with a focus on opportunities for continued improvement and innovation. (c) 2018 American Institute of Physics.
- 7Veldhorst, M.; Eenink, H. G. J.; Yang, C. H.; Dzurak, A. S. Silicon CMOS Architecture for a Spin-Based Quantum Computer. Nat. Commun. 2017, 8, 1766, DOI: 10.1038/s41467-017-01905-6Google Scholar7https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A280%3ADC%252BC1Mzhs1KlsA%253D%253D&md5=e56655105bbc976da6bac25e690db75cSilicon CMOS architecture for a spin-based quantum computerVeldhorst M; Eenink H G J; Veldhorst M; Eenink H G J; Yang C H; Dzurak A SNature communications (2017), 8 (1), 1766 ISSN:.Recent advances in quantum error correction codes for fault-tolerant quantum computing and physical realizations of high-fidelity qubits in multiple platforms give promise for the construction of a quantum computer based on millions of interacting qubits. However, the classical-quantum interface remains a nascent field of exploration. Here, we propose an architecture for a silicon-based quantum computer processor based on complementary metal-oxide-semiconductor (CMOS) technology. We show how a transistor-based control circuit together with charge-storage electrodes can be used to operate a dense and scalable two-dimensional qubit system. The qubits are defined by the spin state of a single electron confined in quantum dots, coupled via exchange interactions, controlled using a microwave cavity, and measured via gate-based dispersive readout. We implement a spin qubit surface code, showing the prospects for universal quantum computation. We discuss the challenges and focus areas that need to be addressed, providing a path for large-scale quantum computing.
- 8Ryder, C. R.; Wood, J. D.; Wells, S. A.; Hersam, M. C. Chemically Tailoring Semiconducting Two-Dimensional Transition Metal Dichalcogenides and Black Phosphorus. ACS Nano 2016, 10, 3900– 3917, DOI: 10.1021/acsnano.6b01091Google Scholar8https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XkvFCksrs%253D&md5=da945e0197efd9c25f49cc7e85db343bChemically Tailoring Semiconducting Two-Dimensional Transition Metal Dichalcogenides and Black PhosphorusRyder, Christopher R.; Wood, Joshua D.; Wells, Spencer A.; Hersam, Mark C.ACS Nano (2016), 10 (4), 3900-3917CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. Two-dimensional (2D) semiconducting transition metal dichalcogenides (TMDCs) and black phosphorus (BP) have beneficial electronic, optical, and phys. properties at the few-layer limit. As atomically thin materials, 2D TMDCs and BP are highly sensitive to their environment and chem. modification, resulting in a strong dependence of their properties on substrate effects, intrinsic defects, and extrinsic adsorbates. Furthermore, the integration of 2D semiconductors into electronic and optoelectronic devices introduces unique challenges at metal-semiconductor and dielec.-semiconductor interfaces. Here, we review emerging efforts to understand and exploit chem. effects to influence the properties of 2D TMDCs and BP. In some cases, surface chem. leads to significant degrdn., thus necessitating the development of robust passivation schemes. On the other hand, appropriately designed chem. modification can be used to beneficially tailor electronic properties, such as controlling doping levels and charge carrier concns. Overall, chem. methods allow substantial tunability of the properties of 2D TMDCs and BP, thereby enabling significant future opportunities to optimize performance for device applications.
- 9Burr, G. W.; Shelby, R. M.; Sebastian, A.; Kim, S.; Kim, S.; Sidler, S.; Virwani, K.; Ishii, M.; Narayanan, P.; Fumarola, A.; Sanches, L. L.; Boybat, I.; Le Gallo, M.; Moon, K.; Woo, J.; Hwang, H.; Leblebici, Y. Neuromorphic Computing Using Non-Volatile Memory. Adv. Phys.: X 2017, 2, 89– 124, DOI: 10.1080/23746149.2016.1259585Google ScholarThere is no corresponding record for this reference.
- 10Lill, T.; Kanarik, K. J.; Tan, S.; Shen, M.; Hudson, E.; Pan, Y.; Marks, J.; Vahedi, V.; Gottscho, R. A. Directional Atomic Layer Etching in Encyclopedia of Plasma Technology; Shohet, J. L., Ed.; Taylor & Francis Group, CRC Press: Boca Raton, FL, 2016; Ch. 13 for your reference; eBook ISBN 9781482214314.Google ScholarThere is no corresponding record for this reference.
- 11Kanarik, K. J.; Lill, T.; Hudson, E. A.; Sriraman, S.; Tan, S.; Marks, J.; Vahedi, V.; Gottscho, R. A. Overview of Atomic Layer Etching in the Semiconductor Industry. J. Vac. Sci. Technol., A 2015, 33, 020802, DOI: 10.1116/1.4913379Google Scholar11https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXjvF2jsLo%253D&md5=90c6eaaa62a8735118e3afc10679bd5fOverview of atomic layer etching in the semiconductor industryKanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2015), 33 (2), 020802/1-020802/14CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)A review. Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the lab. for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to at. layer deposition. As we enter the era of at.-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminol. and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III-V compds., and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufg. of advanced semiconductor devices. (c) 2015 American Institute of Physics.
- 12Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of AlF3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. J. Phys. Chem. C 2015, 119, 25385– 25393, DOI: 10.1021/acs.jpcc.5b07236Google Scholar12https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhs1Ons7%252FP&md5=85d5b002ebbd3df77d8548411cf89b1fAtomic Layer Etching of AlF3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen FluorideLee, Younghee; DuMont, Jaime W.; George, Steven M.Journal of Physical Chemistry C (2015), 119 (45), 25385-25393CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)The at. layer etching (ALE) of AlF3 was demonstrated using sequential thermal reactions with Sn(acac)2 and HF as the reactants. AlF3 ALE is the 1st example of the thermal ALE of a metal fluoride. AlF3 ALE was studied using in situ quartz crystal microbalance (QCM) and FTIR measurements at 150-250°. The QCM studies obsd. that AlF3 was etched linearly with at. level precision vs. no. of sequential reactant cycles. QCM studies also revealed that the sequential Sn(acac)2 and HF reactions were self-limiting vs. reactant exposure. The FTIR spectroscopic anal. obsd. AlF3 etching by monitoring the loss of absorbance of Al-F stretching vibrations in the AlF3 film. The FTIR studies also suggested that the Sn(acac)2 reaction is self-limiting because of the buildup of acac-contg. species on the AlF3 surface. The QCM measurements detd. that the mass change per cycle (MCPC) increased with temp. from -2.0 ng/(cm2 cycle) at 150° to -18.2 ng/(cm2 cycle) at 250°. These MCPC values are equiv. to etch rates from 0.069 Å/cycle at 150° to 0.63 Å/cycle at 250°. In the proposed reaction mechanism for AlF3 ALE, the Sn(acac)2 reactant accepts F from AlF3 and donates acac to the surface. This reaction is believed to yield SnF(acac) and AlF(acac)2 as volatile reaction products. The QCM and FTIR results suggest that the HF reaction converts AlF2(acac)* surface intermediates to AlF3* and volatile acacH reaction products. The ALE of other metal fluorides using Sn(acac)2 and HF should be possible by a similar mechanism.
- 13Faraz, T.; Roozeboom, F.; Knoops, H. C. M.; Kessels, W. M. M. Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?. ECS J. Solid State Sci. Technol. 2015, 4, N5023– N5032, DOI: 10.1149/2.0051506jssGoogle Scholar13https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqs74%253D&md5=4fb69b58c79e48680f1d44b3033df1f8Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?Faraz, T.; Roozeboom, F.; Knoops, H. C. M.; Kessels, W. M. M.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5023-N5032CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)Current trends in semiconductor device manufg. impose extremely stringent requirements on nanoscale processing techniques, both in terms of accurately controlling material properties and in terms of precisely controlling nanometer dimensions. To take nanostructuring by dry etching to the next level, there is a fast growing interest in so-called at. layer etching processes, which are considered the etching counterpart of at. layer deposition processes. In this article, past research efforts are reviewed and the key defining characteristics of at. layer etching are identified, such as cyclic step-wise processing, self-limiting surface chem., and repeated removal of at. layers (not necessarily a full monolayer) of the material. Subsequently, further parallels are drawn with the more mature and mainstream technol. of at. layer deposition from which lessons and concepts are extd. that can be beneficial for advancing the field of at. layer etching.
- 14Oehrlein, G. S.; Metzler, D.; Li, C. Atomic Layer Etching at the Tipping Point: An Overview. ECS J. Solid State Sci. Technol. 2015, 4, N5041– N5053, DOI: 10.1149/2.0061506jssGoogle Scholar14https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqs7w%253D&md5=ada91568a0edd63de0cb204efd68d21eAtomic Layer Etching at the Tipping Point: An OverviewOehrlein, G. S.; Metzler, D.; Li, C.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5041-N5053CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)The ability to achieve near-at. precision in etching different materials when transferring lithog. defined templates is a requirement of increasing importance for nanoscale structure fabrication in the semiconductor and related industries. The use of ultra-thin gate dielecs., ultra thin channels, and sub-20 nm film thicknesses in field effect transistors and other devices requires near-at. scale etching control and selectivity. There is an emerging consensus that as crit. dimensions approach the sub-10 nm scale, the need for an etching method corresponding to Atomic Layer Deposition (ALD), i.e. Atomic Layer Etching (ALE), has become essential, and that the more than 30-yr quest to complement/replace continuous directional plasma etching (PE) methods for crit. applications by a sequence of individual, self-limited surface reaction steps has reached a crucial stage. A key advantage of this approach relative to continuous PE is that it enables optimization of the individual steps with regard to reactant adsorption, self-limited etching, selectivity relative to other materials, and damage of crit. surface layers. In this overview we present basic approaches to ALE of materials, discuss similarities/crucial differences relative to thermal and plasma-enhanced ALD, and then review selected results on ALE of materials aimed at pattern transfer. The overview concludes with a discussion of opportunities and challenges ahead.
- 15Carver, C. T.; Plombon, J. J.; Romero, P. E.; Suri, S.; Tronic, T. A.; Turkot, R. B. J. Atomic Layer Etching: An Industry Perspective. ECS J. Solid State Sci. Technol. 2015, 4, N5005– N5009, DOI: 10.1149/2.0021506jssGoogle Scholar15https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqsrk%253D&md5=acd39c6c3768b4b95241c58eca457a2aAtomic Layer Etching: An Industry PerspectiveCarver, Colin T.; Plombon, John J.; Romero, Patricio E.; Suri, Satyarth; Tronic, Tristan A.; Turkot, Robert B., Jr.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5005-N5009CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)This paper provides an industry perspective on at. layer etching (ALEt) process. Two process sequences representing two different methods of ALEt are described, followed by several examples where ALEt can be an enabling process technol. in the semiconductor industry. The authors believe that there needs to be an increased understanding of surface functionalization, modification and chem.-based material removal. We are confident that this review article will allow for increased scientific and technol. solns. for enabling ALEt.
- 16Honda, M.; Katsunuma, T.; Tabata, M.; Tsuji, A.; Oishi, T.; Hisamatsu, T.; Ogawa, S.; Kihara, Y. Benefits of Atomic-Level Processing by Quasi-ALE and ALD Technique. J. Phys. D: Appl. Phys. 2017, 50, 234002, DOI: 10.1088/1361-6463/aa6f27Google Scholar16https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhvFSjurzO&md5=e4492a8054eb4daa9997b3027f94fa4eBenefits of atomic-level processing by quasi-ALE and ALD techniqueHonda, M.; Katsunuma, T.; Tabata, M.; Tsuji, A.; Oishi, T.; Hisamatsu, T.; Ogawa, S.; Kihara, Y.Journal of Physics D: Applied Physics (2017), 50 (23), 234002/1-234002/10CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)A new technol. has been developed using the at. layer etching (ALE) and at. layer deposition (ALD) concepts. It has been applied to self-aligned contacts (SAC) and patterning processes, for the sub 7 nm technol. generation. In the SAC process, ultra-high selectivity of SiO2 etching towards SiN is required, for which we have developed quasi-ALE technique for SiO2 etching. We were able to significantly improve the trade-off between the etching ability of SiO2 on the micro slit portions and SiN selectivity. Quasi-ALE precisely controls the reaction layer thickness of the surface, by controlling the radical flux and ion flux independently, and hence enables etching at lower ion energies (Ei < 250 eV). On the other hand, in the patterning processes, the shrinking of crit. dimensions (CD) without loading is mandatory. Therefore, we developed a new process flow that combines ALD technique and etching. With this method, we were able to achieve CD shrinking at at.-layer level precision for various patterns, without causing CD loading. In addn., we were also able to uniformly control the CD shrinkage amt. across the whole wafer. This is because this technique takes advantage of the deposition step which is independent of the pattern d. and the location on the wafer by self-limited reactions.
- 17Lee, C. G. N.; Kanarik, K. J.; Gottscho, R. A. The Grand Challenges of Plasma Etching: A Manufacturing Perspective. J. Phys. D: Appl. Phys. 2014, 47, 273001, DOI: 10.1088/0022-3727/47/27/273001Google Scholar17https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXht1alsLrF&md5=b67f0120676a3bfe651c78bd6cbd94bfThe grand challenges of plasma etching: a manufacturing perspectiveLee, Chris G. N.; Kanarik, Keren J.; Gottscho, Richard A.Journal of Physics D: Applied Physics (2014), 47 (27), 273001/1-273001/9, 9 pp.CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)A review. Plasma etching has been enabling nano-electronic fabrication since the 1980s; during this time, transistor size has shrunk by nearly two orders of magnitude, starting at 1.0 μm in the mid 80s to ∼0.01 μm today. The manufg. of these devices requires overcoming a series of challenges, ranging from continuous innovation on device integration to extend Moore's law to breaking tradeoffs on the perennial challenge of aspect ratio-dependent etching. In this paper, we will review four key areas in etch manufg.: uniformity, defects, surface precision and 'sticky'/non-volatile etch materials. In the uniformity section, we will discuss the challenges for microscopic uniformity, such as localized feature dimension variations; macroscopic uniformity, such as performance at the extreme edge of the wafer; and repeatable uniformity, meaning wafer-to-wafer, lot-to-lot and chamber-to-chamber performance. While defect management is successful with in situ plasma cleans, one must be cognizant of the choice of clean chem. In surface precision, we look at the approach of at. layer etching and how it can be successful in a manufg. environment. Finally, in the non-volatile material section, we review technol. drivers for DRAM (dynamic random access memory) and NAND flash memory in the microelectronics Si industry, with focus on the utilization of such materials and what it means to etch equipment manufacturers.
- 18Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Kurihara, M.; Izawa, M.; Ishikawa, K.; Hori, M. (Invited) Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication. ECS Trans. 2017, 80, 3– 14, DOI: 10.1149/08003.0003ecstGoogle Scholar18https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhvFShsrfJ&md5=6656d09ae4fc29d0d672555b9c82fe4bThermal cyclic atomic-level etching of nitride films: a novel way for atomic-scale nanofabricationShinoda, K.; Miyoshi, N.; Kobayashi, H.; Kurihara, M.; Izawa, M.; Ishikawa, K.; Hori, M.ECS Transactions (2017), 80 (3, Atomic Layer Deposition Applications 13), 3-14CODEN: ECSTF8; ISSN:1938-5862. (Electrochemical Society)A highly selective, rapid thermal-cyclic at.-level etching (ALE) process for SiNx films has been developed. The first step of this process is exposing SiNx to hydrofluorocarbon plasma to form an (NH4)2SiF6 layer on the SiNx surface. The second step is rapid thermal annealing with IR (IR) irradn. to decomp. and sublimate the (NH4)2SiF6 layer. Etching of SiNx was obsd. after the (NH4)2SiF6 layer was removed by thermal annealing. Cyclic etching tests were carried out by repeated plasma exposure and IR irradn. It was found that the cyclic process is self-limiting because etching depth depends only on the cycle no. and not on the plasma exposure time. A high selectivity over SiO2 and poly Si was confirmed. This paper reviews the novel isotropic ALE for nitride films focusing on the surface reaction mechanism investigated by XPS and thermal desorption spectroscopy (TDS). Isotropic ALE of TiN was also demonstrated using the same approach.
- 19Khan, S. A.; Suyatin, D. B.; Sundqvist, J.; Graczyk, M.; Junige, M.; Kauppinen, C.; Kvennefors, A.; Huffman, M.; Maximov, I. High-Definition Nanoimprint Stamp Fabrication by Atomic Layer Etching. ACS Appl. Nano Mater. 2018, 1, 2476– 2482, DOI: 10.1021/acsanm.8b00509Google Scholar19https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhtVShsbnL&md5=f51a8dadbf270bb7effd86ba8cb7006eHigh-Definition Nanoimprint Stamp Fabrication by Atomic Layer EtchingKhan, Sabbir A.; Suyatin, Dmitry B.; Sundqvist, Jonas; Graczyk, Mariusz; Junige, Marcel; Kauppinen, Christoffer; Kvennefors, Anders; Huffman, Maria; Maximov, IvanACS Applied Nano Materials (2018), 1 (6), 2476-2482CODEN: AANMF6; ISSN:2574-0970. (American Chemical Society)Nanoimprint lithog. (NIL) has the potential for low-cost and high-throughput nanoscale fabrication. However, the NIL quality and resoln. are usually limited by the shape and size of the nanoimprint stamp features. Atomic layer etching (ALE) can provide a damage-free pattern transfer with ultimate etch control for features of all length scales, down to the at. scale, and for all feature geometries, which is required for good quality and high-resoln. nanoimprint stamp fabrication. Here, we present an ALE process for nanoscale pattern transfer and high-resoln. nanoimprint stamp prepn. This ALE process is based on chem. adsorption of a monoat. layer of dichloride (Cl2) on the silicon surface, followed by the removal of a monolayer of Cl2-modified silicon by argon bombardment. The nanopatterns of different geometries, loadings, and pitches were fabricated by electron beam lithog. on a silicon wafer, and ALE was subsequently performed for pattern transfer using a resist as an etch mask. The post-ALE patterns allowed us to study the different effects and limitations of the process, such as trenching and sidewall tapering. The ALE-processed silicon wafers were used as hard nanoimprint stamps in a thermal nanoimprint process. Features as small as 30 nm were successfully transferred into a poly(Me methacrylate) layer, which demonstrated the great potential of ALE in fabricating nanoimprint stamps with ultrahigh resoln.
- 20Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Miura, M.; Kurihara, M.; Maeda, K.; Negishi, N.; Sonoda, Y.; Tanaka, M.; Yasui, N.; Izawa, M.; Ishii, Y.; Okuma, K.; Saldana, T.; Manos, J.; Ishikawa, K.; Hori, M. Selective Atomic-Level Etching Using Two Heating Procedures, Infrared Irradiation and Ion Bombardment, for next-Generation Semiconductor Device Manufacturing. J. Phys. D: Appl. Phys. 2017, 50, 194001, DOI: 10.1088/1361-6463/aa6874Google Scholar20https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVWrtrzI&md5=78d1ca1efb60713ed7631871ddc9cc73Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturingShinoda, K.; Miyoshi, N.; Kobayashi, H.; Miura, M.; Kurihara, M.; Maeda, K.; Negishi, N.; Sonoda, Y.; Tanaka, M.; Yasui, N.; Izawa, M.; Ishii, Y.; Okuma, K.; Saldana, T.; Manos, J.; Ishikawa, K.; Hori, M.Journal of Physics D: Applied Physics (2017), 50 (19), 194001/1-194001/13CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)The demand for precisely controlled etching is increasing as semiconductor device geometries continue to shrink. To fulfill this demand, cyclic at. level/layer etching will become one of the key technologies in semiconductor device manufg. at nanometer dimensions. This review describes recent trends in semiconductor devices and some of the latest results on cyclic at.-level etching. In particular, it focuses on two types of cyclic etching that use different heating procedures: IR irradn. for isotropic etching and Ar+ ion bombardment for anisotropic etching. It describes how an inductively-coupled-plasma down-flow etching app. with IR lamps can be used for isotropic cyclic etching. The isotropic cyclic etching of SiN involves the formation and thermal desorption of ammonium hexafluorosilicate-based surface modified layers. This method features high selectivity with respect to SiO2, at.-level control of the amt. of SiN etching, and isotropic etched features. On the other hand, the anisotropic cyclic etching with Ar+ ion bombardment uses a microwave electron-cyclotron-resonance plasma etching app. The anisotropic process for poly Si is composed of cyclic repetitions of chlorine adsorption and Ar+ ion bombardment. The anisotropic process for SiN is composed of cyclic repetitions involving an adsorption step using hydrofluorocarbon chem. and a desorption step using Ar+ ion bombardment. Potential applications of these isotropic/anisotropic cyclic etching processes are described.
- 21Lord, A. M.; Ramasse, Q. M.; Kepaptsoglou, D. M.; Evans, J. E.; Davies, P. R.; Ward, M. B.; Wilks, S. P. Modifying the Interface Edge to Control the Electrical Transport Properties of Nanocontacts to Nanowires. Nano Lett. 2017, 17, 687– 694, DOI: 10.1021/acs.nanolett.6b03699Google ScholarThere is no corresponding record for this reference.
- 22Lieberman, M. A.; Lichtenberg, A. J. Principles of Plasma Discharges and Materials Processing; II; John Wiley & Sons: Hoboken, New Jersey, USA, 2005.Google ScholarThere is no corresponding record for this reference.
- 23Jhon, Y. I.; Min, K. S.; Yeom, G. Y.; Jhon, Y. M. Understanding Time-Resolved Processes in Atomic-Layer Etching of Ultra-Thin Al2O3 Film Using BCl3 and Ar Neutral Beam. Appl. Phys. Lett. 2014, 105, 093104, DOI: 10.1063/1.4894523Google ScholarThere is no corresponding record for this reference.
- 24Park, S. D.; Oh, C. K.; Bae, J. W.; Yeom, G. Y.; Kim, T. W.; Song, J. I.; Jang, J. H. Atomic Layer Etching of InP Using a Low Angle Forward Reflected Ne Neutral Beam. Appl. Phys. Lett. 2006, 89, 043109, DOI: 10.1063/1.2221504Google Scholar24https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XotFSnu74%253D&md5=ae234b1906a81960d119e2d348c9ab13Atomic layer etching of InP using a low angle forward reflected Ne neutral beamPark, S. D.; Oh, C. K.; Bae, J. W.; Yeom, G. Y.; Kim, T. W.; Song, J. I.; Jang, J. H.Applied Physics Letters (2006), 89 (4), 043109/1-043109/3CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)The at. layer etching characteristics and the etch mechanism of (100) InP as functions of Cl2 pressure and Ne neutral beam irradn. dose were investigated. When Cl2 pressure and Ne neutral beam irradn. dose were lower than the crit. values of 0.4 mTorr and 7.2 × 1015 at./cm2 cycle, resp., the InP etch rate (A/cycle) and the InP surface roughness varied with Cl2 pressure and Ne neutral beam irradn. dose. However, when the Cl2 pressure and Ne neutral beam irradn. dose were higher than the crit. values, the InP etch rate remained as 1.47 A/cycle, corresponding to one monolayer per cycle, and the surface roughness and the surface stoichiometry remained similar to those of InP before etching.
- 25Lee, Y.; DuMont, J. W.; George, S. M. Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions. Chem. Mater. 2016, 28, 2994– 3003, DOI: 10.1021/acs.chemmater.6b00111Google Scholar25https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XlvV2itrg%253D&md5=6eff3dae89403646573f0865f1bf251cTrimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal ReactionsLee, Younghee; DuMont, Jaime W.; George, Steven M.Chemistry of Materials (2016), 28 (9), 2994-3003CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Trimethylaluminum (TMA, AlMe3) was used as the metal precursor, together with HF, for the at. layer etching (ALE) of Al2O3 using sequential, self-limiting thermal reactions. Al2O3 ALE using TMA demonstrates that other metal precursors, in addn. to Sn(acac)2, can be employed for Al2O3 ALE. The use of TMA for Al2O3 ALE is esp. interesting because TMA can also be used for Al2O3 at. layer deposition (ALD). Quartz crystal microbalance (QCM) expts. monitored Al2O3 ALE at 250-325°. The Al2O3 ALE was linear vs. the no. of HF and TMA reaction cycles. The QCM studies showed that the sequential HF and TMA reactions were self-limiting vs. reactant exposure. The Al2O3 etching rates increased at higher temps. The QCM anal. measured mass change per cycle (MCPC) values that varied from -4.2 ng/(cm2 cycle) at 250° to -23.3 ng/(cm2 cycle) at 325°. These MCPCs correspond to Al2O3 etch rates from 0.14 Å/cycle at 250° to 0.75 Å/cycle at 325°. X-ray reflectivity and spectroscopic ellipsometry analyses confirmed the linear removal of Al2O3 and etching rates. FTIR spectroscopy measurements monitored Al2O3 ALE by observing the loss of IR absorbance from Al-O stretching vibrations. Surface intermediates were also identified after the HF and TMA exposures. Al2O3 ALE with TMA is believed to occur by the reaction Al2O3 + 4AlMe3 + 6HF → 6AlFMe2 + 3H2O. The proposed mechanism involves fluorination and ligand-exchange reactions. The HF exposure fluorinates the Al2O3 and forms an AlF3 surface layer and H2O as a volatile reaction product. During the ligand-exchange transmetalation reaction, TMA accepts F from the AlF3 surface layer and donates CH3 to produce volatile AlFMe2 reaction products. The QCM measurements were consistent with an AlF3 surface layer thickness of 3.0 Å on Al2O3 after the HF exposures. The larger etch rates at higher temps. were attributed to the removal of a larger fraction of the AlF3 surface layer by TMA exposures at higher temps.
- 26Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF. ECS J. Solid State Sci. Technol. 2015, 4, N5013– N5022, DOI: 10.1149/2.0041506jssGoogle Scholar26https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqsrc%253D&md5=e9f1b586f73c4f2c6dba17d29524a5a4Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HFLee, Younghee; DuMont, Jaime W.; George, Steven M.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5013-N5022CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)The at. layer etching (ALEt) of HfO2 was performed using sequential, self-limiting thermal reactions with tin(II) acetylacetonate (Sn(acac)2) and HF as the reactants. The HF source was a HF-pyridine soln. The etching of HfO2 was linear with at. level control vs. no. of Sn(acac)2 and HF reaction cycles. The HfO2 ALEt was measured at temps. from 150-250°C. Quartz crystal microbalance (QCM) measurements detd. that the mass change per cycle (MCPC) increased with temp. from -6.7 ng/(cm2 cycle) at 150°C to -11.2 ng/(cm2 cycle) at 250°C. These MCPC values correspond to etch rates from 0.070 Å/cycle at 150°C to 0.117 Å/cycle at 250°C. X-ray reflectivity anal. confirmed the linear removal of HfO2 and measured an HfO2 ALEt etch rate of 0.11 Å/cycle at 200°C. Fourier transform IR (FTIR) spectroscopy measurements also obsd. HfO2 ALEt using the IR absorbance of the Hf-O stretching vibration. FTIR anal. also revealed absorbance features consistent with HfF4 or HfFx surface species as a reaction intermediate. The HfO2 etching is believed to follow the reaction: HfO2 + 4Sn(acac)2 + 4HF → Hf(acac)4 + 4SnF(acac) + 2H2O. In the proposed reaction mechanism, Sn(acac)2 donates acac to the substrate to produce Hf(acac)4. HF allows SnF(acac) and H2O to leave as reaction products. The thermal ALEt of many other metal oxides, as well as metal nitrides, phosphides, sulfides and arsenides, should be possible by a similar mechanism.
- 27Zywotko, D. R.; George, S. M. Thermal Atomic Layer Etching of ZnO by a “Conversion-Etch” Mechanism Using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum. Chem. Mater. 2017, 29, 1183– 1191, DOI: 10.1021/acs.chemmater.6b04529Google Scholar27https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXkvVGmtw%253D%253D&md5=51e174271a98060d8a49c70037b2e884Thermal Atomic Layer Etching of ZnO by a "Conversion-Etch" Mechanism Using Sequential Exposures of Hydrogen Fluoride and TrimethylaluminumZywotko, David R.; George, Steven M.Chemistry of Materials (2017), 29 (3), 1183-1191CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)The at. layer etching (ALE) of ZnO thin films was demonstrated using sequential, self-limiting thermal reactions with HF and trimethylaluminum (TMA) as the reactants. The initial polycryst. ZnO films were grown by at. layer deposition (ALD) using diethylzinc (DEZ) and H2O at 150°. The thermal ZnO ALE process was then studied between 205-295° using various techniques. In situ quartz crystal microbalance (QCM) measurements monitored ZnO ALE at 265°. The ZnO etching was linear vs. no. of ALE cycles. The HF exposure caused a mass gain of DeltaMHF = +53 ng/cm2 from fluorination. The subsequent TMA exposure caused a large mass loss of DeltaMTMA = -172 ng/cm2. This mass loss was much larger than expected from metal fluoride removal resulting from ligand-exchange transmetalation. The large mass loss suggested that there is a conversion-etch mechanism where TMA also converts the ZnO surface to an Al2O3 surface layer. This conversion reaction is believed to occur according to 3ZnO + 2Al(CH3)3 -> Al2O3 + 3Zn(CH3)2. The ALE reaction then proceeds by Al2O3 + 6HF + 4Al(CH3)3 -> 6AlF(CH3)2 + 3H2O. In this reaction, the Al2O3 layer is 1st fluorinated by HF to produce an AlF3 surface layer. The AlF3 surface layer is then removed by ligand-exchange transmetalation with TMA to yield volatile AlF(CH3)2 reaction products. After the AlF3 removal, TMA then reacts with addnl. ZnO to regenerate the Al2O3 surface layer. The av. mass change per cycle (MCPC) during ZnO ALE was -119 ng/cm2 at 265°. This MCPC corresponds to an etch rate of 2.11 Å/cycle using a ZnO film d. of 5.62 g/cm3. The QCM measurements showed that the sequential HF and TMA reactions were self-limiting vs. reactant exposure. Ex situ spectroscopic ellipsometry (SE) detd. that the etch rates were temp. dependent and the etch rates leveled off at higher temps. The etch rates ranged from 0.01 Å/cycle at 205° to 2.19 Å/cycle at 295°C. Atomic force microscopy measurements (AFM) obsd. that the ZnO ALD films were smoothed by ZnO ALE. The conversion-etch mechanism may occur during the ALE of other metal compds. This conversion-etch mechanism reaction may expand the no. of materials that can be etched by thermal ALE methods.
- 28Johnson, N. R.; Sun, H.; Sharma, K.; George, S. M. Thermal Atomic Layer Etching of Crystalline Aluminum Nitride Using Sequential, Self-Limiting Hydrogen Fluoride and Sn(acac)2 Reactions and Enhancement by H2 and Ar Plasmas. J. Vac. Sci. Technol., A 2016, 34, 050603, DOI: 10.1116/1.4959779Google Scholar28https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhtlShu7rO&md5=85b5164929ad2c20e18c185e8e310519Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac)2 reactions and enhancement by H2 and Ar plasmasJohnson, Nicholas R.; Sun, Huaxing; Sharma, Kashish; George, Steven M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2016), 34 (5), 050603/1-050603/5CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)Thermal at. layer etching (ALE) of cryst. aluminum nitride (AlN) films was demonstrated using sequential, self-limiting reactions with hydrogen fluoride (HF) and tin(II) acetylacetonate [Sn(acac)2] as the reactants. Film thicknesses were monitored vs. no. of ALE reaction cycles at 275 °C using in situ spectroscopic ellipsometry (SE). A low etch rate of ∼0.07 Å/cycle was measured during etching of the first 40 Å of the film. This small etch rate corresponded with the AlOxNy layer on the AlN film. The etch rate then increased to ∼0.36 Å/cycle for the pure AlN films. In situ SE expts. established the HF and Sn(acac)2 exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN film and produces an AlF3 layer on the surface. The metal precursor, Sn(acac)2, then accepts fluorine from the AlF3 layer and transfers an acac ligand to the AlF3 layer in a ligand-exchange reaction. The possible volatile etch products are SnF(acac) and either Al(acac)3 or AlF(acac)2. Adding a H2 plasma exposure after each Sn(acac)2 exposure dramatically increased the AlN etch rate from 0.36 to 1.96 Å/cycle. This enhanced etch rate is believed to result from the ability of the H2 plasma to remove acac surface species that may limit the AlN etch rate. The active agent from the H2 plasma is either hydrogen radicals or radiation. Adding an Ar plasma exposure after each Sn(acac)2 exposure increased the AlN etch rate from 0.36 to 0.66 Å/cycle. This enhanced etch rate is attributed to either ions or radiation from the Ar plasma that may also lead to the desorption of acac surface species. (c) 2016 American Institute of Physics.
- 29Sherpa, S. D.; Ventzek, P. L. G.; Ranjan, A. Quasiatomic Layer Etching of Silicon Nitride with Independent Control of Directionality and Selectivity. J. Vac. Sci. Technol., A 2017, 35, 05C310, DOI: 10.1116/1.4993133Google ScholarThere is no corresponding record for this reference.
- 30Miyoshi, N.; Kobayashi, H.; Shinoda, K.; Kurihara, M.; Watanabe, T.; Kouzuma, Y.; Yokogawa, K.; Sakai, S.; Izawa, M. Atomic Layer Etching of Silicon Nitride Using Infrared Annealing for Short Desorption Time of Ammonium Fluorosilicate. Jpn. J. Appl. Phys. 2017, 56, 06HB01, DOI: 10.7567/jjap.56.06hb01Google ScholarThere is no corresponding record for this reference.
- 31Shinoda, K.; Izawa, M.; Kanekiyo, T.; Ishikawa, K.; Hori, M. Thermal Cyclic Etching of Silicon Nitride Using Formation and Desorption of Ammonium Fluorosilicate. Appl. Phys. Express 2016, 9, 106201, DOI: 10.7567/apex.9.106201Google Scholar31https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVOgtbc%253D&md5=08363d6920855dc0ad21e83e1c7d5736Thermal cyclic etching of silicon nitride using formation and desorption of ammonium fluorosilicateShinoda, Kazunori; Izawa, Masaru; Kanekiyo, Tadamitsu; Ishikawa, Kenji; Hori, MasaruApplied Physics Express (2016), 9 (10), 106201/1-106201/3CODEN: APEPC4; ISSN:1882-0786. (IOP Publishing Ltd.)Novel selective cyclic etching of SiN over SiO2 via the formation and desorption of ammonium fluorosilicate was developed. The formation of ammonium fluorosilicate was obsd. using XPS after hydrofluorocarbon-based radical exposure. Etching of SiN was obsd. after ammonium fluorosilicate was removed by thermal annealing. Cyclic etching tests were carried out by repeated radical exposure and thermal annealing. The etching depth increased on increasing the no. of cycles. It was found that the cyclic etching is selflimiting because the etching depth does not depend on the radical exposure time but on the no. of cycles.
- 32Ikeda, K.; Imai, S.; Matsumura, M. Atomic Layer Etching of Germanium. Appl. Surf. Sci. 1997, 112, 87– 91, DOI: 10.1016/s0169-4332(96)00995-6Google Scholar32https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK2sXhtlOqt7w%253D&md5=53d6fc6210739a4c825a5d82a4ab7569Atomic layer etching of germaniumIkeda, Keiji; Imai, Shigeru; Matsumura, MasakiyoApplied Surface Science (1997), 112 (), 87-91CODEN: ASUSEE; ISSN:0169-4332. (Elsevier)At. layer etching of Ge has been investigated exptl. based on the surface chem. that Cl can adsorb on the clean Ge surface at room temp. and desorb thermally as GeCl2 at high-temp.. The ideal etching rate of one monolayer per cycle has been achieved. The crit. Cl2 dosage for the satd. etching rate was about 7.2 × 106 L. Increase of the surface roughness after etching of 100 cycles was about 3.5 monolayers.
- 33Lee, Y.; DuMont, J. W.; George, S. M. Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF. Chem. Mater. 2015, 27, 3648– 3657, DOI: 10.1021/acs.chemmater.5b00300Google Scholar33https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXntF2ktro%253D&md5=fbdcf45854d7ba60d0f80b4844e9c021Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HFLee, Younghee; DuMont, Jaime W.; George, Steven M.Chemistry of Materials (2015), 27 (10), 3648-3657CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Thermal Al2O3 at. layer etching (ALE) can be performed using sequential, self-limiting reactions with Sn(II) acetylacetonate (Sn(acac)2) and HF as the reactants. To understand the reaction mechanism, in situ quartz crystal microbalance (QCM) and FTIR measurements were conducted vs. temp. The mass change per cycle (MCPC) increased with temp. from -4.1 ng/(cm2 cycle) at 150° to -18.3 ng/(cm2 cycle) at 250°. Arrhenius anal. of the temp.-dependent MCPC values yielded an activation barrier for Al2O3 ALE of E = 6.6 ± 0.4 kcal/mol. The mass changes after the individual Sn(acac)2 and HF exposures also varied with temp. The mass changes after the Sn(acac)2 exposures were consistent with more Sn(acac)2 surface reaction products remaining at lower temps. The mass changes after the HF exposures were consistent with more AlF3 species remaining at higher temps. The FTIR spectroscopic anal. obsd. Al2O3 etching by measuring the loss of absorbance of Al-O stretching vibrations in the Al2O3 film. The IR absorbance of the acetylacetonate vibrational features from Sn(acac)2 surface reaction products was also smaller at higher temps. The correlation between the MCPC values and the acetylacetonate IR absorbance suggested that the Al2O3 ALE rate is inversely dependent on the acetylacetonate surface coverage. The QCM and FTIR measurements explored the nucleation of the Al2O3 ALE. A large mass gain and loss of IR absorbance of Al-O stretching vibrations after the initial HF exposure on the Al2O3 film was consistent with the conversion of Al2O3 to AlF3. FTIR expts. also obsd. the formation of AlF3 after the initial HF exposure and the presence of AlF3 on the surface after each HF exposure during Al2O3 ALE. In the proposed reaction mechanism, AlF3 is the key reaction intermediate during Al2O3 ALE. HF converts Al2O3 to AlF3 prior to removal of AlF3 by Sn(acac)2.
- 34Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional etch of magnetic and noble metals. II. Organic chemical vapor etch. J. Vac. Sci. Technol., A 2017, 35, 05C305, DOI: 10.1116/1.4983830Google ScholarThere is no corresponding record for this reference.
- 35Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional Etch of Magnetic and Noble Metals. II. Organic Chemical Vapor Etch. J. Vac. Sci. Technol., A 2017, 35, 05C305, DOI: 10.1116/1.4983830Google ScholarThere is no corresponding record for this reference.
- 36Nigg, H. L.; Ford, L. P.; Masel, R. I. Surface-mediated reaction pathways of 2,4-pentanedione on clean and oxygen covered Cu (210). J. Vac. Sci. Technol., A 1998, 16, 3064– 3067, DOI: 10.1116/1.581459Google ScholarThere is no corresponding record for this reference.
- 37Kytökivi, A.; Rautiainen, A.; Root, A. Reaction of acetylacetone vapour with [gamma ]-alumina. J. Chem. Soc. Faraday. Trans. 1997, 93, 4079– 4084, DOI: 10.1039/a704993eGoogle ScholarThere is no corresponding record for this reference.
- 38George, M. A.; Hess, D. W.; Beck, S. E.; Young, K. M.; Roberts, D. A.; Vrtis, R.; Voloshin, G.; Bohling, D. A.; Lane, A. P. Reaction of 1,1,1,5,5,5-Hexafluoro-2,4-Pentanedione (H+hfac) with Iron and Iron Oxide Thin Films. J. Electrochem. Soc. 1996, 143, 3257– 3266, DOI: 10.1149/1.1837194Google ScholarThere is no corresponding record for this reference.
- 39Jain, A.; Kodas, T. T.; Hampden-Smith, M. J. Thermal Dry-Etching of Copper Using Hydrogen Peroxide and Hexafluoroacetylacetone. Thin Solid Films 1995, 269, 51– 56, DOI: 10.1016/0040-6090(95)06877-5Google Scholar39https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK28XhvVGntw%253D%253D&md5=91a77954d541bdc2a809d1bb9e0209b7Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetoneJain, Ajay; Kodas, T. T.; Hampden-Smith, M. J.Thin Solid Films (1995), 269 (1-2), 51-6CODEN: THSFAP; ISSN:0040-6090. (Elsevier)A new thermal dry etch process for Cu is reported which results in isotropic removal of Cu at high rates, does not involve the use of halogens such as Cl, and gave a volatile etching product. Applications include cleaning of CVD reactors and the back-side of wafers. The process involves oxidn. of Cu by H2O2 (H2O2) vapor to form either Cu(I) or Cu(II) oxide depending on the etch temp. and removal of the Cu oxides by reaction with hexafluoroacetylacetone (hfacH) to form volatile Cu(bis-hexafluoroacetylacetonate) (Cu(hfac)2) and H2O. Cu was etched at temps. ≥150° and at rates of up to ∼1 μm min-1 at 190° by simultaneous flow of H2O2 and hfacH over a heated substrate. The etch rate increased with substrate temp., etchant flow rates, and chamber pressure over the range of the parameters studied. The rate-limiting regime was identified by observing the film color during etching; a dark-brown color suggested fast oxidn. with slow removal of Cu oxide as the rate limiting step while a Cu color suggested fast removal of Cu oxide from the surface with oxidn. as the rate-limiting step. The partially etched Cu films were less reflective and exhibited higher surface roughness compared with the sputter-deposited Cu used for etching.
- 40Hauge, H. I. T.; Conesa-Boj, S.; Verheijen, M. A.; Koelling, S.; Bakkers, E. P. A. M. Single-Crystalline Hexagonal Silicon-Germanium. Nano Lett. 2017, 17, 85– 90, DOI: 10.1021/acs.nanolett.6b03488Google Scholar40https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XitFamsrrN&md5=224910a9dd0a95b476b0c3e20425887aSingle-Crystalline Hexagonal Silicon-GermaniumHauge, Hakon Ikaros T.; Conesa-Boj, Sonia; Verheijen, Marcel A.; Koelling, Sebastian; Bakkers, Erik P. A. M.Nano Letters (2017), 17 (1), 85-90CODEN: NALEFD; ISSN:1530-6984. (American Chemical Society)The feasibility of high-quality defect-free and wafer-scale hexagonal Si1-xGex growth was demonstrated with precise control of the alloy compn. and layer thickness. This is achieved by transferring the hexagonal phase from a GaP/Si core/shell nanowire template, the same method employed by one to realize hexagonal Si. The authors det. the optimal growth conditions to achieve single-cryst. layer-by-layer Si1-xGex growth in the preferred stoichiometry region. The results pave the way for exploiting the novel properties of hexagonal Si1-xGex alloys in technol. applications.
- 41Https://imagej.nih.gov/ij/.Google ScholarThere is no corresponding record for this reference.
- 42Mameli, A.; Merkx, M. J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. E. M. M.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano 2017, 11, 9303– 9311, DOI: 10.1021/acsnano.7b04701Google Scholar42https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVWhtrrM&md5=76c5f8a015a87d3aa07092d2c7188f97Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycleMameli, Alfredo; Merkx, Marc J. M.; Karasulu, Bora; Roozeboom, Fred; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.ACS Nano (2017), 11 (9), 9303-9311CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Area-selective at. layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor mols. in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform IR spectroscopy expts. and d. functional theory calcns. underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor mols.
- 43Pécz, B.; Baji, Z.; Lábadi, Z.; Kovács, A. ZnO Layers Deposited by Atomic Layer Deposition. Journal of Physics: Conference Series in 18th Microscopy of Semiconducting Materials Conference (MSM XVIII) , 2013; Vol. 471, p 12015.Google ScholarThere is no corresponding record for this reference.
- 44Profijt, H. B.; Kudlacek, P.; van de Sanden, M. C. M.; Kessels, W. M. M. Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides. J. Electrochem. Soc. 2011, 158, G88– G91, DOI: 10.1149/1.3552663Google ScholarThere is no corresponding record for this reference.
- 45National Institute of Standards and Technology. NIST Webbook. In Chemistry WebBook, NIST Standard Reference Database Number 69; Linstrom, P. J., Mallard, W. G., Eds.; National Institute of Standards and Technology: Gaithersburg MD, 20899.Google ScholarThere is no corresponding record for this reference.
- 46Tayyari, S. F.; Milani-nejad, F. Vibrational Assignment of Acetylacetone. Spectrochim. Acta, Part A 2000, 56, 2679– 2691, DOI: 10.1016/s1386-1425(00)00304-8Google Scholar46https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXhsV2jsQ%253D%253D&md5=3de32b117f4e6efee5345f6ec4dadb67Vibrational assignment of acetylacetoneTayyari, S. F.; Milani-Nejad, F.Spectrochimica Acta, Part A: Molecular and Biomolecular Spectroscopy (2000), 56A (14), 2679-2691CODEN: SAMCAS; ISSN:1386-1425. (Elsevier Science B.V.)The IR and Raman spectra of acetylacetone (I) and its deuterated analogs have been analyzed by means of ab initio calcns. at post Hartree-Fock level and considering the spectral behavior upon deuteration. By deconvolution of the IR spectra of I and acetylacetone-d6 at 1600 cm-1 region a broad and strong band is found and correlated with the strong Raman lines obsd. for these compds. in this region. The broadness of this IR band at room temp. and it's splitting at low temp. is attributed to free rotation of Me group attached to carbonyl group at room temp. Furthermore it is found that all ring modes in 1200-1600 cm-1 region more or less are mixed with the OH in plane bending motion.
- 47Niven, M. L.; Thornton, D. A. Band Assignment in the Infrared Spectrum of Zinc Acetylacetonate Monohydrate by 18O, 68Zn and 64Zn-Labelling. Spectrosc. Lett. 1980, 13, 419– 425, DOI: 10.1080/00387018008064033Google Scholar47https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaL3cXlsVSgs70%253D&md5=63d9e3b6435b39a66073b25259502366Band assignments in the infrared spectrum of zinc acetylacetonate monohydrate by oxygen-18-, zinc-68 and zinc-64 labelingNiven, Margaret L.; Thornton, David A.Spectroscopy Letters (1980), 13 (6), 419-25CODEN: SPLEBX; ISSN:0038-7010.The IR spectrum of bis(acetylacetonato)zinc(II) monohydrate and its 18O-, 68Zn- and 64Zn-labeled analogs was detd. Band assignments were made on the basis of the isotopically-induced shifts in relation to C4v localized point symmetry of the mol. Unlike tris(acetylacetonato)chromium(III), there is no disagreement between the results of the 18O and metal isotope labeling techniques.
- 48Helms, A. B.; Burgess, J. S.; Street, S. C. Surface Studies of 2,4-Pentanedione on γ-Al2O3/NiAl (100) and NiAl (100). Surf. Sci. 2009, 603, 3262– 3266, DOI: 10.1016/j.susc.2009.09.008Google ScholarThere is no corresponding record for this reference.
- 49Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2. ACS Nano 2015, 9, 2061– 2070, DOI: 10.1021/nn507277fGoogle ScholarThere is no corresponding record for this reference.
- 50Lee, Y.; Huffman, C.; George, S. M. Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. Chem. Mater. 2016, 28, 7657– 7665, DOI: 10.1021/acs.chemmater.6b02543Google Scholar50https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28Xhs1Sktr7F&md5=99bd8b23418e8136c0075717caa21c98Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange ReactionsLee, Younghee; Huffman, Craig; George, Steven M.Chemistry of Materials (2016), 28 (21), 7657-7665CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Atomic layer etching (ALE) can result from sequential, self-limiting thermal reactions. The reactions during thermal ALE are defined by fluorination followed by ligand exchange using metal precursors. The metal precursors introduce various ligands that may transfer during ligand exchange. If the transferred ligands produce stable and volatile metal products, then the metal products may leave the surface and produce etching. Selectivity in thermal ALE was examd. by exploring Sn(II) acetylacetonate (Sn(acac)2), trimethylaluminum (TMA), dimethylaluminum chloride (DMAC), and SiCl4 as the metal precursors. These metal precursors provide acac, Me, and chloride ligands for ligand exchange. HF-pyridine was employed as the fluorination reagent. Spectroscopic ellipsometry was used to measure the etch rates of Al2O3, HfO2, ZrO2, SiO2, Si3N4, and TiN thin films on Si wafers. The spectroscopic ellipsometry measurements revealed that HfO2 was etched by all of the metal precursors. Al2O3 was etched by all of the metal precursors except SiCl4. ZrO2 was etched by all of the metal precursors except TMA. In contrast, SiO2, Si3N4, and TiN were not etched by any of the metal precursors. These results can be explained by the stability and volatility of the possible reaction products. Temp. can also be used to obtain selective thermal ALE. The temp. dependence of ZrO2, HfO2, and Al2O3 ALE was examd. using SiCl4 as the metal precursor. Higher temps. can discriminate between the etching of ZrO2, HfO2, and Al2O3. The temp. dependence of Al2O3 ALE was also examd. using Sn(acac)2, TMA, and DMAC as the metal precursors. Sn(acac)2 etched Al2O3 at temps. ≥150°. DMAC etched Al2O3 at higher temps. ≥225°. TMA etched Al2O3 at even higher temps. ≥250°. The combination of different metal precursors with various ligands and different temps. can provide multiple pathways for selective thermal ALE.
- 51DuMont, J. W.; Marquardt, A. E.; Cano, A. M.; George, S. M. Thermal Atomic Layer Etching of SiO2 by a “Conversion-Etch” Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride. ACS Appl. Mater. Interfaces 2017, 9, 10296– 10307, DOI: 10.1021/acsami.7b01259Google Scholar51https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXjsVCmu7s%253D&md5=bb3ce07bc6b8fa543ae372767ee90870Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen FluorideDuMont, Jaime W.; Marquardt, Amy E.; Cano, Austin M.; George, Steven M.ACS Applied Materials & Interfaces (2017), 9 (11), 10296-10307CODEN: AAMICK; ISSN:1944-8244. (American Chemical Society)The thermal at. layer etching (ALE) of SiO2 was performed using sequential reactions of trimethylaluminum (TMA) and HF at 300°. Ex situ x-ray reflectivity (XRR) measurements revealed that the etch rate during SiO2 ALE was dependent on reactant pressure. SiO2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were obsd. at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 torr, resp. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates vs. reactant pressure. In situ FTIR spectroscopy studies also obsd. SiO2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. The FTIR spectra revealed that an Al2O3/aluminosilicate intermediate was present after the TMA exposures. The Al2O3/aluminosilicate intermediate is consistent with a conversion-etch mechanism where SiO2 is converted by TMA to Al2O3, aluminosilicates, and reduced Si species following a family of reactions represented by 3SiO2 + 4AlMe3 → 2Al2O3 + 3SiMe4. Ex situ XPS studies confirmed the redn. of Si species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al2O3 and aluminosilicates to species such as AlF3 and SiOxFy. Subsequently, TMA can remove the AlF3 and SiOxFy species by ligand-exchange transmetalation reactions and then convert addnl. SiO2 to Al2O3. The pressure-dependent conversion reaction of SiO2 to Al2O3 and aluminosilicates by TMA is crit. for thermal SiO2 ALE. The conversion-etch mechanism may also provide pathways for addnl. materials to be etched using thermal ALE.
Cited By
This article is cited by 33 publications.
- Jonathan L. Partridge, Aziz I. Abdulagatov, David R. Zywotko, Steven M. George. Limiting or Continuous Thermal Etching of First Row Transition Metal Oxides Using Acetylacetone and Ozone. Chemistry of Materials 2024, 36
(15)
, 7151-7161. https://doi.org/10.1021/acs.chemmater.4c00862
- Alfredo Mameli, Andrew V. Teplyakov. Selection Criteria for Small-Molecule Inhibitors in Area-Selective Atomic Layer Deposition: Fundamental Surface Chemistry Considerations. Accounts of Chemical Research 2023, 56
(15)
, 2084-2095. https://doi.org/10.1021/acs.accounts.3c00221
- Ann Lii-Rosales, Virginia L. Johnson, Sandeep Sharma, Andreas Fischer, Thorsten Lill, Steven M. George. Volatile Products from Ligand Addition of P(CH3)3 to NiCl2, PdCl2, and PtCl2: Pathway for Metal Thermal Atomic Layer Etching. The Journal of Physical Chemistry C 2022, 126
(19)
, 8287-8295. https://doi.org/10.1021/acs.jpcc.1c10690
- Mahsa Konh, Anderson Janotti, Andrew Teplyakov. Molecular Mechanism of Thermal Dry Etching of Iron in a Two-Step Atomic Layer Etching Process: Chlorination Followed by Exposure to Acetylacetone. The Journal of Physical Chemistry C 2021, 125
(13)
, 7142-7154. https://doi.org/10.1021/acs.jpcc.0c10556
- Chen Li, Huilong Zhu, Yongkui Zhang, Xiaogen Yin, Kunpeng Jia, Junjie Li, Guilei Wang, Zhenzhen Kong, Anyan Du, Tengzhi Yang, Liheng Zhao, Weixing Huang, Lu Xie, Yangyang Li, Xuezheng Ai, Shishuai Ma, Henry H. Radamson. Selective Digital Etching of Silicon–Germanium Using Nitric and Hydrofluoric Acids. ACS Applied Materials & Interfaces 2020, 12
(42)
, 48170-48178. https://doi.org/10.1021/acsami.0c14018
- Suresh Kondati
Natarajan, Michael Nolan, Patrick Theofanis, Charles Mokhtarzadeh, Scott B. Clendenning. Mechanism of Thermal Atomic Layer Etch of W Metal Using Sequential Oxidation and Chlorination: A First-Principles Study. ACS Applied Materials & Interfaces 2020, 12
(32)
, 36670-36680. https://doi.org/10.1021/acsami.0c06628
- Steven M. George. Mechanisms of Thermal Atomic Layer Etching. Accounts of Chemical Research 2020, 53
(6)
, 1151-1160. https://doi.org/10.1021/acs.accounts.0c00084
- Rita Mullins, Suresh Kondati Natarajan, Simon D. Elliott, Michael Nolan. Self-Limiting Temperature Window for Thermal Atomic Layer Etching of HfO2 and ZrO2 Based on the Atomic-Scale Mechanism. Chemistry of Materials 2020, 32
(8)
, 3414-3426. https://doi.org/10.1021/acs.chemmater.9b05021
- Mohammad
R. Aziziyan, Hemant Sharma, Jan J. Dubowski. Photo-Atomic Layer Etching of GaAs/AlGaAs Nanoheterostructures. ACS Applied Materials & Interfaces 2019, 11
(19)
, 17968-17978. https://doi.org/10.1021/acsami.9b02079
- Doo San Kim, Hae In Kwon, Yun Jong Jang, Gyoung Chan Kim, Hong Seong Gil, Dae Whan Kim, Byeong Hwa Jeong, Geun Young Yeom. Plasma atomic layer etching of ruthenium by oxygen adsorption-removal cyclic process. Applied Surface Science 2024, 670 , 160570. https://doi.org/10.1016/j.apsusc.2024.160570
- Sumiko Fujisaki, Yoshihide Yamaguchi, Hiroyuki Kobayashi, Kazunori Shinoda, Masaki Yamada, Kohei Kawamura, Masaru Izawa. Oxidation state of cobalt oxide in thermal-cyclic atomic layer etching of cobalt by plasma oxidation and organometallization. AIP Advances 2024, 14
(4)
https://doi.org/10.1063/5.0196724
- Christoffer Kauppinen. Atomic layer etching of indium tin oxide. Journal of Vacuum Science & Technology A 2024, 42
(2)
https://doi.org/10.1116/6.0003170
- Taylor G. Smith, Ali M. Ali, Jean-François de Marneffe, Jane P. Chang. Plasma nitridation for atomic layer etching of Ni. Journal of Vacuum Science & Technology A 2024, 42
(2)
https://doi.org/10.1116/6.0003263
- Jonathan L. Partridge, Aziz I. Abdulagatov, Varun Sharma, Jessica A. Murdzek, Andrew Cavanagh, Steven M. George. Thermal atomic layer etching of CoO using acetylacetone and ozone: Evidence for changes in oxidation state and crystal structure during sequential exposures. Applied Surface Science 2023, 638 , 157923. https://doi.org/10.1016/j.apsusc.2023.157923
- Andreas Fischer, Thorsten Lill. Plasma application in atomic layer etching. Physics of Plasmas 2023, 30
(8)
https://doi.org/10.1063/5.0158785
- Holger Saare, Wenyi Xie, Gregory N. Parsons. Comparison of BCl3, TiCl4, and SOCl2 chlorinating agents for atomic layer etching of TiO2 and ZrO2 using tungsten hexafluoride. Journal of Vacuum Science & Technology A 2023, 41
(4)
https://doi.org/10.1116/6.0002708
- Yongjae Kim, Somin Chae, Heeju Ha, Hyeongwu Lee, Sangheon Lee, Heeyeop Chae. Thermal atomic layer etching of cobalt using plasma chlorination and chelation with hexafluoroacetylacetone. Applied Surface Science 2023, 619 , 156751. https://doi.org/10.1016/j.apsusc.2023.156751
- Chuanlong Ma, Anton Nikiforov, Dirk Hegemann, Nathalie De Geyter, Rino Morent, Kostya (Ken) Ostrikov. Plasma-controlled surface wettability: recent advances and future applications. International Materials Reviews 2023, 68
(1)
, 82-119. https://doi.org/10.1080/09506608.2022.2047420
- Chengyuan Yao, Wanfu Shen, Xiaodong Hu, Chunguang Hu. Evaluation of the surface and subsurface evolution of single-crystal yttrium aluminum garnet during polishing. Applied Surface Science 2023, 608 , 155219. https://doi.org/10.1016/j.apsusc.2022.155219
- Sumiko Fujisaki, Yoshihide Yamaguchi, Hiroyuki Kobayashi, Kazunori Shinoda, Masaki Yamada, Hirotaka Hamamura, Kohei Kawamura, Masaru Izawa. Thermal-cyclic atomic layer etching of cobalt with smooth etched surface by plasma oxidation and organometallization. Applied Physics Letters 2022, 121
(12)
https://doi.org/10.1063/5.0096949
- Fatemeh Gashoul Daresibi, Abbas Ali Khodadadi, Yadollah Mortazavi, Simo Huotari, Mikko Ritala. Highly dispersed atomic layer deposited CrOx on SiO2 catalyst with enhanced yield of propylene for CO2 –mediated oxidative dehydrogenation of propane. Molecular Catalysis 2022, 526 , 112396. https://doi.org/10.1016/j.mcat.2022.112396
- Nobuya Miyoshi, Nicholas McDowell, Hiroyuki Kobayashi. Atomic layer etching of titanium nitride with surface modification by Cl radicals and rapid thermal annealing. Journal of Vacuum Science & Technology A 2022, 40
(3)
https://doi.org/10.1116/6.0001827
- Rita Mullins, José Julio Gutiérrez Moreno, Michael Nolan. Origin of enhanced thermal atomic layer etching of amorphous HfO2. Journal of Vacuum Science & Technology A 2022, 40
(2)
https://doi.org/10.1116/6.0001614
- . Thermal Etching. 2021, 43-50. https://doi.org/10.1002/9783527824199.ch3
- . Thermal Isotropic
ALE. 2021, 51-83. https://doi.org/10.1002/9783527824199.ch4
- Andreas Fischer, Aaron Routzahn, Steven M. George, Thorsten Lill. Thermal atomic layer etching: A review. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2021, 39
(3)
https://doi.org/10.1116/6.0000894
- Marc J. M. Merkx, Rick G. J. Jongen, Alfredo Mameli, Paul C. Lemaire, Kashish Sharma, Dennis M. Hausmann, Wilhelmus M. M. Kessels, Adriaan J. M. Mackus. Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO2. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2021, 39
(1)
https://doi.org/10.1116/6.0000652
- Hai-Bao Zhang, Qiang Chen, . Recent progress of non-thermal plasma material surface treatment and functionalization. Acta Physica Sinica 2021, 70
(9)
, 095203. https://doi.org/10.7498/aps.70.20202233
- Nicholas J. Chittock, Martijn F. J. Vos, Tahsin Faraz, Wilhelmus M. M. (Erwin) Kessels, Harm C. M. Knoops, Adriaan J. M. Mackus. Isotropic plasma atomic layer etching of Al2O3 using a fluorine containing plasma and Al(CH3)3. Applied Physics Letters 2020, 117
(16)
https://doi.org/10.1063/5.0022531
- Xia Sang, Jane P. Chang. Patterning nickel for extreme ultraviolet lithography mask application. II. Hybrid reactive ion etch and atomic layer etch processing. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2020, 38
(4)
https://doi.org/10.1116/6.0000191
- Eun Taek Lim, Moon Hwan Cha, Sung Yong Park, Ji Su Lee, Chee Won Chung. Cyclic etching of copper thin films using HBr and Ar gases. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2020, 38
(4)
https://doi.org/10.1116/6.0000218
- Xia Sang, Jane P Chang. Physical and chemical effects in directional atomic layer etching. Journal of Physics D: Applied Physics 2020, 53
(18)
, 183001. https://doi.org/10.1088/1361-6463/ab6d94
- Helen Tran, Harrison M. Bergman, Victor R. de la Rosa, Samarendra Maji, Kaia R. Parenti, Richard Hoogenboom, Luis M. Campos. Microphase segregation and selective chain scission of poly(2‐methyl‐2‐oxazoline)‐
block
‐polystyrene. Journal of Polymer Science Part A: Polymer Chemistry 2019, 57
(12)
, 1349-1357. https://doi.org/10.1002/pola.29396
Article Views are the COUNTER-compliant sum of full text article downloads since November 2008 (both PDF and HTML) across all institutions and individuals. These metrics are regularly updated to reflect usage leading up to the last few days.
Citations are the number of other articles citing this article, calculated by Crossref and updated daily. Find more information about Crossref citation counts.
The Altmetric Attention Score is a quantitative measure of the attention that a research article has received online. Clicking on the donut icon will load a page at altmetric.com with additional details about the score and the social media presence for the given article. Find more information on the Altmetric Attention Score and how the score is calculated.
Recommended Articles
References
This article references 51 other publications.
- 1Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9, 8651– 8654, DOI: 10.1021/acsnano.5b052491https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhsVKlsL3P&md5=a72e3e4f8cc06ec52628c4bbfefd5866Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart PositioningFang, Ming; Ho, Johnny C.ACS Nano (2015), 9 (9), 8651-8654CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. Transistors have already been made three-dimensional (3D), with device channels (i.e., fins in trigate field-effect transistor (FinFET) technol.) that are taller, thinner, and closer together to enhance device performance and lower active power consumption. As device scaling continues, these transistors will require more advanced, fabrication-enabling technologies for the conformal deposition of high-κ dielec. layers on their 3-dimensional channels with accurate position alignment and thickness control down to the subnanometer scale. Among many competing techniques, area-selective at. layer deposition (AS-ALD) is a promising method that is well suited to the requirements without the use of complicated, complementary metal-oxide semiconductor (CMOS)-incompatible processes. However, further progress is limited by poor area selectivity for thicker films formed via a higher no. of ALD cycles as well as the prolonged processing time. In this issue of ACS Nano, Professor Stacy Bent and her research group demonstrate a straightforward self-correcting ALD approach, combining selective deposition with a postprocess mild chem. etching, which enables selective deposition of dielec. films with thicknesses and processing times at least 10 times larger and 48 times shorter, resp., than those obtained by conventional AS-ALD processes. These advances present an important technol. breakthrough that may drive the AS-ALD technique a step closer toward industrial applications in electronics, catalysis, and photonics, etc. where more efficient device fabrication processes are needed.
- 2George, S. M.; Lee, Y. Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. ACS Nano 2016, 10, 4889– 4894, DOI: 10.1021/acsnano.6b029912https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XotVahsrw%253D&md5=f4af7a0f479ad212cbd127ef7d994db8Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange ReactionsGeorge, Steven M.; Lee, YoungheeACS Nano (2016), 10 (5), 4889-4894CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Thermal at. layer etching (ALE) of Al2O3 and HfO2 using sequential, self-limiting fluorination and ligand-exchange reactions was recently demonstrated using HF and Sn acetylacetonate (Sn(acac)2) as the reactants. This new thermal pathway for ALE represents the reverse of at. layer deposition (ALD) and should lead to isotropic etching. Atomic layer deposition and ALE can together define the at. layer growth and removal steps required for advanced semiconductor fabrication. The thermal ALE of many materials should be possible using fluorination and ligand-exchange reactions. The chem. details of ligand-exchange can lead to selective ALE between various materials. Thermal ALE could produce conformal etching in high-aspect-ratio structures. Thermal ALE could also yield ultrasmooth thin films based on deposit/etch-back methods. Enhancement of ALE rates and possible anisotropic ALE could be achieved using radicals or ions together with thermal ALE.
- 3Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. ACS Nano 2015, 9, 2061– 2070, DOI: 10.1021/nn507277f3https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhtFyktLY%253D&md5=d244f60c0c86b3bc40fbd992626dd9b3Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen FluorideLee, Younghee; George, Steven M.ACS Nano (2015), 9 (2), 2061-2070CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)The at. layer etching (ALE) of Al2O3 was demonstrated using sequential, self-limiting thermal reactions with tin(II) acetylacetonate (Sn(acac)2) and hydrogen fluoride (HF) as the reactants. The Al2O3 samples were Al2O3 at. layer deposition (ALD) films grown using trimethylaluminum and H2O. The HF source was HF-pyridine. Al2O3 was etched linearly with at. level precision vs. no. of reactant cycles. The Al2O3 ALE was monitored at temps. from 150 to 250 °C. Quartz crystal microbalance (QCM) studies revealed that the sequential Sn(acac)2 and HF reactions were self-limiting vs. reactant exposure. QCM measurements also detd. that the mass change per cycle (MCPC) increased with temp. from -4.1 ng/(cm2 cycle) at 150 °C to -18.3 ng/(cm2 cycle) at 250 °C. These MCPC values correspond to etch rates from 0.14 Å/cycle at 150 °C to 0.61 Å/cycle at 250 °C based on the Al2O3 ALD film d. of 3.0 g/cm3. X-ray reflectivity (XRR) anal. confirmed the linear removal of Al2O3 and measured an Al2O3 ALE etch rate of 0.27 Å/cycle at 200 °C. The XRR measurements also indicated that the Al2O3 films were smoothed by Al2O3 ALE. The overall etching reaction is believed to follow the reaction Al2O3 + 6Sn(acac)2 + 6HF → 2Al(acac)3 + 6SnF(acac) + 3H2O. In the proposed reaction mechanism, the Sn(acac)2 reactant donates acac to the substrate to produce Al(acac)3. The HF reactant allows SnF(acac) and H2O to leave as reaction products. The thermal ALE of many other metal oxides using Sn(acac)2 or other metal β-diketonates, together with HF, should be possible by a similar mechanism. This thermal ALE mechanism may also be applicable to other materials such as metal nitrides, metal phosphides, metal sulfides and metal arsenides.
- 4Kong, L.; Song, Y.; Kim, J. D.; Yu, L.; Wasserman, D.; Chim, W. K.; Chiam, S. Y.; Li, X. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching. ACS Nano 2017, 11, 10193– 10205, DOI: 10.1021/acsnano.7b047524https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVKgsrbM&md5=65f7556fcfacaf72156ef14ef20fcab3Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical EtchingKong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, XiulingACS Nano (2017), 11 (10), 10193-10205CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Producing densely packed high aspect ratio In0.53Ga0.47As nanostructures without surface damage is crit. for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compd. semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In0.53Ga0.47As pillars with diams. as small as 200 nm using the damage-free metal-assisted chem. etching (MacEtch) technol. combined with the post-MacEtch digital etching smoothing. The etching mechanism of InxGa1-xAs is explored through the characterization of pillar morphol. and porosity as a function of etching condition and indium compn. The etching behavior of In0.53Ga0.47As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state d. and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In0.53Ga0.47As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temp., and low-cost method for the formation of high-quality In0.53Ga0.47As nanostructures that will potentially enable large-vol. prodn. of In0.53Ga0.47As-based devices including three-dimensional transistors and high-efficiency IR photodetectors.
- 5Pan, D. Z.; Liebmann, L.; Yu, B.; Xu, X.; Lin, Y. Pushing Multiple Patterning in Sub-10 nm. Proceedings of the 52nd Annual Design Automation Conference on—DAC ’15 2015, pp 1– 6.There is no corresponding record for this reference.
- 6Clark, R.; Tapily, K.; Yu, K.; Hakamata, T.; Consiglio, S.; Meara, D. O.; Wajda, C.; Smith, J.; Leusink, G. Perspective : New Process Technologies Required for Future Devices and Scaling. APL Mater. 2018, 6, 058203, DOI: 10.1063/1.50268056https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhtVGisr7L&md5=23afc1e8855db73cb2a0d6e2f2017d77Perspective: New process technologies required for future devices and scalingClark, R.; Tapily, K.; Yu, K.-H.; Hakamata, T.; Consiglio, S.; O'Meara, D.; Wajda, C.; Smith, J.; Leusink, G.APL Materials (2018), 6 (5), 058203/1-058203/12CODEN: AMPADS; ISSN:2166-532X. (American Institute of Physics)This paper presents an overview and perspective on processing technologies required for continued scaling of leading edge and emerging semiconductor devices. We introduce the main drivers and trends affecting future semiconductor device scaling and provide examples of emerging devices and architectures that may be implemented within the next 10-20 yr. We summarize multiple active areas of research to explain how future thin film deposition, etch, and patterning technologies can enable 3D (vertical) power, performance, area, and cost scaling. Emerging and new process technologies will be required to enable improved contacts, scaled and future devices and interconnects, monolithic 3D integration, and new computing architectures. These process technologies are explained and discussed with a focus on opportunities for continued improvement and innovation. (c) 2018 American Institute of Physics.
- 7Veldhorst, M.; Eenink, H. G. J.; Yang, C. H.; Dzurak, A. S. Silicon CMOS Architecture for a Spin-Based Quantum Computer. Nat. Commun. 2017, 8, 1766, DOI: 10.1038/s41467-017-01905-67https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A280%3ADC%252BC1Mzhs1KlsA%253D%253D&md5=e56655105bbc976da6bac25e690db75cSilicon CMOS architecture for a spin-based quantum computerVeldhorst M; Eenink H G J; Veldhorst M; Eenink H G J; Yang C H; Dzurak A SNature communications (2017), 8 (1), 1766 ISSN:.Recent advances in quantum error correction codes for fault-tolerant quantum computing and physical realizations of high-fidelity qubits in multiple platforms give promise for the construction of a quantum computer based on millions of interacting qubits. However, the classical-quantum interface remains a nascent field of exploration. Here, we propose an architecture for a silicon-based quantum computer processor based on complementary metal-oxide-semiconductor (CMOS) technology. We show how a transistor-based control circuit together with charge-storage electrodes can be used to operate a dense and scalable two-dimensional qubit system. The qubits are defined by the spin state of a single electron confined in quantum dots, coupled via exchange interactions, controlled using a microwave cavity, and measured via gate-based dispersive readout. We implement a spin qubit surface code, showing the prospects for universal quantum computation. We discuss the challenges and focus areas that need to be addressed, providing a path for large-scale quantum computing.
- 8Ryder, C. R.; Wood, J. D.; Wells, S. A.; Hersam, M. C. Chemically Tailoring Semiconducting Two-Dimensional Transition Metal Dichalcogenides and Black Phosphorus. ACS Nano 2016, 10, 3900– 3917, DOI: 10.1021/acsnano.6b010918https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XkvFCksrs%253D&md5=da945e0197efd9c25f49cc7e85db343bChemically Tailoring Semiconducting Two-Dimensional Transition Metal Dichalcogenides and Black PhosphorusRyder, Christopher R.; Wood, Joshua D.; Wells, Spencer A.; Hersam, Mark C.ACS Nano (2016), 10 (4), 3900-3917CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)A review. Two-dimensional (2D) semiconducting transition metal dichalcogenides (TMDCs) and black phosphorus (BP) have beneficial electronic, optical, and phys. properties at the few-layer limit. As atomically thin materials, 2D TMDCs and BP are highly sensitive to their environment and chem. modification, resulting in a strong dependence of their properties on substrate effects, intrinsic defects, and extrinsic adsorbates. Furthermore, the integration of 2D semiconductors into electronic and optoelectronic devices introduces unique challenges at metal-semiconductor and dielec.-semiconductor interfaces. Here, we review emerging efforts to understand and exploit chem. effects to influence the properties of 2D TMDCs and BP. In some cases, surface chem. leads to significant degrdn., thus necessitating the development of robust passivation schemes. On the other hand, appropriately designed chem. modification can be used to beneficially tailor electronic properties, such as controlling doping levels and charge carrier concns. Overall, chem. methods allow substantial tunability of the properties of 2D TMDCs and BP, thereby enabling significant future opportunities to optimize performance for device applications.
- 9Burr, G. W.; Shelby, R. M.; Sebastian, A.; Kim, S.; Kim, S.; Sidler, S.; Virwani, K.; Ishii, M.; Narayanan, P.; Fumarola, A.; Sanches, L. L.; Boybat, I.; Le Gallo, M.; Moon, K.; Woo, J.; Hwang, H.; Leblebici, Y. Neuromorphic Computing Using Non-Volatile Memory. Adv. Phys.: X 2017, 2, 89– 124, DOI: 10.1080/23746149.2016.1259585There is no corresponding record for this reference.
- 10Lill, T.; Kanarik, K. J.; Tan, S.; Shen, M.; Hudson, E.; Pan, Y.; Marks, J.; Vahedi, V.; Gottscho, R. A. Directional Atomic Layer Etching in Encyclopedia of Plasma Technology; Shohet, J. L., Ed.; Taylor & Francis Group, CRC Press: Boca Raton, FL, 2016; Ch. 13 for your reference; eBook ISBN 9781482214314.There is no corresponding record for this reference.
- 11Kanarik, K. J.; Lill, T.; Hudson, E. A.; Sriraman, S.; Tan, S.; Marks, J.; Vahedi, V.; Gottscho, R. A. Overview of Atomic Layer Etching in the Semiconductor Industry. J. Vac. Sci. Technol., A 2015, 33, 020802, DOI: 10.1116/1.491337911https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXjvF2jsLo%253D&md5=90c6eaaa62a8735118e3afc10679bd5fOverview of atomic layer etching in the semiconductor industryKanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2015), 33 (2), 020802/1-020802/14CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)A review. Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the lab. for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to at. layer deposition. As we enter the era of at.-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminol. and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III-V compds., and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufg. of advanced semiconductor devices. (c) 2015 American Institute of Physics.
- 12Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of AlF3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. J. Phys. Chem. C 2015, 119, 25385– 25393, DOI: 10.1021/acs.jpcc.5b0723612https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXhs1Ons7%252FP&md5=85d5b002ebbd3df77d8548411cf89b1fAtomic Layer Etching of AlF3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen FluorideLee, Younghee; DuMont, Jaime W.; George, Steven M.Journal of Physical Chemistry C (2015), 119 (45), 25385-25393CODEN: JPCCCK; ISSN:1932-7447. (American Chemical Society)The at. layer etching (ALE) of AlF3 was demonstrated using sequential thermal reactions with Sn(acac)2 and HF as the reactants. AlF3 ALE is the 1st example of the thermal ALE of a metal fluoride. AlF3 ALE was studied using in situ quartz crystal microbalance (QCM) and FTIR measurements at 150-250°. The QCM studies obsd. that AlF3 was etched linearly with at. level precision vs. no. of sequential reactant cycles. QCM studies also revealed that the sequential Sn(acac)2 and HF reactions were self-limiting vs. reactant exposure. The FTIR spectroscopic anal. obsd. AlF3 etching by monitoring the loss of absorbance of Al-F stretching vibrations in the AlF3 film. The FTIR studies also suggested that the Sn(acac)2 reaction is self-limiting because of the buildup of acac-contg. species on the AlF3 surface. The QCM measurements detd. that the mass change per cycle (MCPC) increased with temp. from -2.0 ng/(cm2 cycle) at 150° to -18.2 ng/(cm2 cycle) at 250°. These MCPC values are equiv. to etch rates from 0.069 Å/cycle at 150° to 0.63 Å/cycle at 250°. In the proposed reaction mechanism for AlF3 ALE, the Sn(acac)2 reactant accepts F from AlF3 and donates acac to the surface. This reaction is believed to yield SnF(acac) and AlF(acac)2 as volatile reaction products. The QCM and FTIR results suggest that the HF reaction converts AlF2(acac)* surface intermediates to AlF3* and volatile acacH reaction products. The ALE of other metal fluorides using Sn(acac)2 and HF should be possible by a similar mechanism.
- 13Faraz, T.; Roozeboom, F.; Knoops, H. C. M.; Kessels, W. M. M. Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?. ECS J. Solid State Sci. Technol. 2015, 4, N5023– N5032, DOI: 10.1149/2.0051506jss13https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqs74%253D&md5=4fb69b58c79e48680f1d44b3033df1f8Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?Faraz, T.; Roozeboom, F.; Knoops, H. C. M.; Kessels, W. M. M.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5023-N5032CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)Current trends in semiconductor device manufg. impose extremely stringent requirements on nanoscale processing techniques, both in terms of accurately controlling material properties and in terms of precisely controlling nanometer dimensions. To take nanostructuring by dry etching to the next level, there is a fast growing interest in so-called at. layer etching processes, which are considered the etching counterpart of at. layer deposition processes. In this article, past research efforts are reviewed and the key defining characteristics of at. layer etching are identified, such as cyclic step-wise processing, self-limiting surface chem., and repeated removal of at. layers (not necessarily a full monolayer) of the material. Subsequently, further parallels are drawn with the more mature and mainstream technol. of at. layer deposition from which lessons and concepts are extd. that can be beneficial for advancing the field of at. layer etching.
- 14Oehrlein, G. S.; Metzler, D.; Li, C. Atomic Layer Etching at the Tipping Point: An Overview. ECS J. Solid State Sci. Technol. 2015, 4, N5041– N5053, DOI: 10.1149/2.0061506jss14https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqs7w%253D&md5=ada91568a0edd63de0cb204efd68d21eAtomic Layer Etching at the Tipping Point: An OverviewOehrlein, G. S.; Metzler, D.; Li, C.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5041-N5053CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)The ability to achieve near-at. precision in etching different materials when transferring lithog. defined templates is a requirement of increasing importance for nanoscale structure fabrication in the semiconductor and related industries. The use of ultra-thin gate dielecs., ultra thin channels, and sub-20 nm film thicknesses in field effect transistors and other devices requires near-at. scale etching control and selectivity. There is an emerging consensus that as crit. dimensions approach the sub-10 nm scale, the need for an etching method corresponding to Atomic Layer Deposition (ALD), i.e. Atomic Layer Etching (ALE), has become essential, and that the more than 30-yr quest to complement/replace continuous directional plasma etching (PE) methods for crit. applications by a sequence of individual, self-limited surface reaction steps has reached a crucial stage. A key advantage of this approach relative to continuous PE is that it enables optimization of the individual steps with regard to reactant adsorption, self-limited etching, selectivity relative to other materials, and damage of crit. surface layers. In this overview we present basic approaches to ALE of materials, discuss similarities/crucial differences relative to thermal and plasma-enhanced ALD, and then review selected results on ALE of materials aimed at pattern transfer. The overview concludes with a discussion of opportunities and challenges ahead.
- 15Carver, C. T.; Plombon, J. J.; Romero, P. E.; Suri, S.; Tronic, T. A.; Turkot, R. B. J. Atomic Layer Etching: An Industry Perspective. ECS J. Solid State Sci. Technol. 2015, 4, N5005– N5009, DOI: 10.1149/2.0021506jss15https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqsrk%253D&md5=acd39c6c3768b4b95241c58eca457a2aAtomic Layer Etching: An Industry PerspectiveCarver, Colin T.; Plombon, John J.; Romero, Patricio E.; Suri, Satyarth; Tronic, Tristan A.; Turkot, Robert B., Jr.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5005-N5009CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)This paper provides an industry perspective on at. layer etching (ALEt) process. Two process sequences representing two different methods of ALEt are described, followed by several examples where ALEt can be an enabling process technol. in the semiconductor industry. The authors believe that there needs to be an increased understanding of surface functionalization, modification and chem.-based material removal. We are confident that this review article will allow for increased scientific and technol. solns. for enabling ALEt.
- 16Honda, M.; Katsunuma, T.; Tabata, M.; Tsuji, A.; Oishi, T.; Hisamatsu, T.; Ogawa, S.; Kihara, Y. Benefits of Atomic-Level Processing by Quasi-ALE and ALD Technique. J. Phys. D: Appl. Phys. 2017, 50, 234002, DOI: 10.1088/1361-6463/aa6f2716https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhvFSjurzO&md5=e4492a8054eb4daa9997b3027f94fa4eBenefits of atomic-level processing by quasi-ALE and ALD techniqueHonda, M.; Katsunuma, T.; Tabata, M.; Tsuji, A.; Oishi, T.; Hisamatsu, T.; Ogawa, S.; Kihara, Y.Journal of Physics D: Applied Physics (2017), 50 (23), 234002/1-234002/10CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)A new technol. has been developed using the at. layer etching (ALE) and at. layer deposition (ALD) concepts. It has been applied to self-aligned contacts (SAC) and patterning processes, for the sub 7 nm technol. generation. In the SAC process, ultra-high selectivity of SiO2 etching towards SiN is required, for which we have developed quasi-ALE technique for SiO2 etching. We were able to significantly improve the trade-off between the etching ability of SiO2 on the micro slit portions and SiN selectivity. Quasi-ALE precisely controls the reaction layer thickness of the surface, by controlling the radical flux and ion flux independently, and hence enables etching at lower ion energies (Ei < 250 eV). On the other hand, in the patterning processes, the shrinking of crit. dimensions (CD) without loading is mandatory. Therefore, we developed a new process flow that combines ALD technique and etching. With this method, we were able to achieve CD shrinking at at.-layer level precision for various patterns, without causing CD loading. In addn., we were also able to uniformly control the CD shrinkage amt. across the whole wafer. This is because this technique takes advantage of the deposition step which is independent of the pattern d. and the location on the wafer by self-limited reactions.
- 17Lee, C. G. N.; Kanarik, K. J.; Gottscho, R. A. The Grand Challenges of Plasma Etching: A Manufacturing Perspective. J. Phys. D: Appl. Phys. 2014, 47, 273001, DOI: 10.1088/0022-3727/47/27/27300117https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2cXht1alsLrF&md5=b67f0120676a3bfe651c78bd6cbd94bfThe grand challenges of plasma etching: a manufacturing perspectiveLee, Chris G. N.; Kanarik, Keren J.; Gottscho, Richard A.Journal of Physics D: Applied Physics (2014), 47 (27), 273001/1-273001/9, 9 pp.CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)A review. Plasma etching has been enabling nano-electronic fabrication since the 1980s; during this time, transistor size has shrunk by nearly two orders of magnitude, starting at 1.0 μm in the mid 80s to ∼0.01 μm today. The manufg. of these devices requires overcoming a series of challenges, ranging from continuous innovation on device integration to extend Moore's law to breaking tradeoffs on the perennial challenge of aspect ratio-dependent etching. In this paper, we will review four key areas in etch manufg.: uniformity, defects, surface precision and 'sticky'/non-volatile etch materials. In the uniformity section, we will discuss the challenges for microscopic uniformity, such as localized feature dimension variations; macroscopic uniformity, such as performance at the extreme edge of the wafer; and repeatable uniformity, meaning wafer-to-wafer, lot-to-lot and chamber-to-chamber performance. While defect management is successful with in situ plasma cleans, one must be cognizant of the choice of clean chem. In surface precision, we look at the approach of at. layer etching and how it can be successful in a manufg. environment. Finally, in the non-volatile material section, we review technol. drivers for DRAM (dynamic random access memory) and NAND flash memory in the microelectronics Si industry, with focus on the utilization of such materials and what it means to etch equipment manufacturers.
- 18Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Kurihara, M.; Izawa, M.; Ishikawa, K.; Hori, M. (Invited) Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication. ECS Trans. 2017, 80, 3– 14, DOI: 10.1149/08003.0003ecst18https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhvFShsrfJ&md5=6656d09ae4fc29d0d672555b9c82fe4bThermal cyclic atomic-level etching of nitride films: a novel way for atomic-scale nanofabricationShinoda, K.; Miyoshi, N.; Kobayashi, H.; Kurihara, M.; Izawa, M.; Ishikawa, K.; Hori, M.ECS Transactions (2017), 80 (3, Atomic Layer Deposition Applications 13), 3-14CODEN: ECSTF8; ISSN:1938-5862. (Electrochemical Society)A highly selective, rapid thermal-cyclic at.-level etching (ALE) process for SiNx films has been developed. The first step of this process is exposing SiNx to hydrofluorocarbon plasma to form an (NH4)2SiF6 layer on the SiNx surface. The second step is rapid thermal annealing with IR (IR) irradn. to decomp. and sublimate the (NH4)2SiF6 layer. Etching of SiNx was obsd. after the (NH4)2SiF6 layer was removed by thermal annealing. Cyclic etching tests were carried out by repeated plasma exposure and IR irradn. It was found that the cyclic process is self-limiting because etching depth depends only on the cycle no. and not on the plasma exposure time. A high selectivity over SiO2 and poly Si was confirmed. This paper reviews the novel isotropic ALE for nitride films focusing on the surface reaction mechanism investigated by XPS and thermal desorption spectroscopy (TDS). Isotropic ALE of TiN was also demonstrated using the same approach.
- 19Khan, S. A.; Suyatin, D. B.; Sundqvist, J.; Graczyk, M.; Junige, M.; Kauppinen, C.; Kvennefors, A.; Huffman, M.; Maximov, I. High-Definition Nanoimprint Stamp Fabrication by Atomic Layer Etching. ACS Appl. Nano Mater. 2018, 1, 2476– 2482, DOI: 10.1021/acsanm.8b0050919https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC1cXhtVShsbnL&md5=f51a8dadbf270bb7effd86ba8cb7006eHigh-Definition Nanoimprint Stamp Fabrication by Atomic Layer EtchingKhan, Sabbir A.; Suyatin, Dmitry B.; Sundqvist, Jonas; Graczyk, Mariusz; Junige, Marcel; Kauppinen, Christoffer; Kvennefors, Anders; Huffman, Maria; Maximov, IvanACS Applied Nano Materials (2018), 1 (6), 2476-2482CODEN: AANMF6; ISSN:2574-0970. (American Chemical Society)Nanoimprint lithog. (NIL) has the potential for low-cost and high-throughput nanoscale fabrication. However, the NIL quality and resoln. are usually limited by the shape and size of the nanoimprint stamp features. Atomic layer etching (ALE) can provide a damage-free pattern transfer with ultimate etch control for features of all length scales, down to the at. scale, and for all feature geometries, which is required for good quality and high-resoln. nanoimprint stamp fabrication. Here, we present an ALE process for nanoscale pattern transfer and high-resoln. nanoimprint stamp prepn. This ALE process is based on chem. adsorption of a monoat. layer of dichloride (Cl2) on the silicon surface, followed by the removal of a monolayer of Cl2-modified silicon by argon bombardment. The nanopatterns of different geometries, loadings, and pitches were fabricated by electron beam lithog. on a silicon wafer, and ALE was subsequently performed for pattern transfer using a resist as an etch mask. The post-ALE patterns allowed us to study the different effects and limitations of the process, such as trenching and sidewall tapering. The ALE-processed silicon wafers were used as hard nanoimprint stamps in a thermal nanoimprint process. Features as small as 30 nm were successfully transferred into a poly(Me methacrylate) layer, which demonstrated the great potential of ALE in fabricating nanoimprint stamps with ultrahigh resoln.
- 20Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Miura, M.; Kurihara, M.; Maeda, K.; Negishi, N.; Sonoda, Y.; Tanaka, M.; Yasui, N.; Izawa, M.; Ishii, Y.; Okuma, K.; Saldana, T.; Manos, J.; Ishikawa, K.; Hori, M. Selective Atomic-Level Etching Using Two Heating Procedures, Infrared Irradiation and Ion Bombardment, for next-Generation Semiconductor Device Manufacturing. J. Phys. D: Appl. Phys. 2017, 50, 194001, DOI: 10.1088/1361-6463/aa687420https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVWrtrzI&md5=78d1ca1efb60713ed7631871ddc9cc73Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturingShinoda, K.; Miyoshi, N.; Kobayashi, H.; Miura, M.; Kurihara, M.; Maeda, K.; Negishi, N.; Sonoda, Y.; Tanaka, M.; Yasui, N.; Izawa, M.; Ishii, Y.; Okuma, K.; Saldana, T.; Manos, J.; Ishikawa, K.; Hori, M.Journal of Physics D: Applied Physics (2017), 50 (19), 194001/1-194001/13CODEN: JPAPBE; ISSN:0022-3727. (IOP Publishing Ltd.)The demand for precisely controlled etching is increasing as semiconductor device geometries continue to shrink. To fulfill this demand, cyclic at. level/layer etching will become one of the key technologies in semiconductor device manufg. at nanometer dimensions. This review describes recent trends in semiconductor devices and some of the latest results on cyclic at.-level etching. In particular, it focuses on two types of cyclic etching that use different heating procedures: IR irradn. for isotropic etching and Ar+ ion bombardment for anisotropic etching. It describes how an inductively-coupled-plasma down-flow etching app. with IR lamps can be used for isotropic cyclic etching. The isotropic cyclic etching of SiN involves the formation and thermal desorption of ammonium hexafluorosilicate-based surface modified layers. This method features high selectivity with respect to SiO2, at.-level control of the amt. of SiN etching, and isotropic etched features. On the other hand, the anisotropic cyclic etching with Ar+ ion bombardment uses a microwave electron-cyclotron-resonance plasma etching app. The anisotropic process for poly Si is composed of cyclic repetitions of chlorine adsorption and Ar+ ion bombardment. The anisotropic process for SiN is composed of cyclic repetitions involving an adsorption step using hydrofluorocarbon chem. and a desorption step using Ar+ ion bombardment. Potential applications of these isotropic/anisotropic cyclic etching processes are described.
- 21Lord, A. M.; Ramasse, Q. M.; Kepaptsoglou, D. M.; Evans, J. E.; Davies, P. R.; Ward, M. B.; Wilks, S. P. Modifying the Interface Edge to Control the Electrical Transport Properties of Nanocontacts to Nanowires. Nano Lett. 2017, 17, 687– 694, DOI: 10.1021/acs.nanolett.6b03699There is no corresponding record for this reference.
- 22Lieberman, M. A.; Lichtenberg, A. J. Principles of Plasma Discharges and Materials Processing; II; John Wiley & Sons: Hoboken, New Jersey, USA, 2005.There is no corresponding record for this reference.
- 23Jhon, Y. I.; Min, K. S.; Yeom, G. Y.; Jhon, Y. M. Understanding Time-Resolved Processes in Atomic-Layer Etching of Ultra-Thin Al2O3 Film Using BCl3 and Ar Neutral Beam. Appl. Phys. Lett. 2014, 105, 093104, DOI: 10.1063/1.4894523There is no corresponding record for this reference.
- 24Park, S. D.; Oh, C. K.; Bae, J. W.; Yeom, G. Y.; Kim, T. W.; Song, J. I.; Jang, J. H. Atomic Layer Etching of InP Using a Low Angle Forward Reflected Ne Neutral Beam. Appl. Phys. Lett. 2006, 89, 043109, DOI: 10.1063/1.222150424https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD28XotFSnu74%253D&md5=ae234b1906a81960d119e2d348c9ab13Atomic layer etching of InP using a low angle forward reflected Ne neutral beamPark, S. D.; Oh, C. K.; Bae, J. W.; Yeom, G. Y.; Kim, T. W.; Song, J. I.; Jang, J. H.Applied Physics Letters (2006), 89 (4), 043109/1-043109/3CODEN: APPLAB; ISSN:0003-6951. (American Institute of Physics)The at. layer etching characteristics and the etch mechanism of (100) InP as functions of Cl2 pressure and Ne neutral beam irradn. dose were investigated. When Cl2 pressure and Ne neutral beam irradn. dose were lower than the crit. values of 0.4 mTorr and 7.2 × 1015 at./cm2 cycle, resp., the InP etch rate (A/cycle) and the InP surface roughness varied with Cl2 pressure and Ne neutral beam irradn. dose. However, when the Cl2 pressure and Ne neutral beam irradn. dose were higher than the crit. values, the InP etch rate remained as 1.47 A/cycle, corresponding to one monolayer per cycle, and the surface roughness and the surface stoichiometry remained similar to those of InP before etching.
- 25Lee, Y.; DuMont, J. W.; George, S. M. Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions. Chem. Mater. 2016, 28, 2994– 3003, DOI: 10.1021/acs.chemmater.6b0011125https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XlvV2itrg%253D&md5=6eff3dae89403646573f0865f1bf251cTrimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal ReactionsLee, Younghee; DuMont, Jaime W.; George, Steven M.Chemistry of Materials (2016), 28 (9), 2994-3003CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Trimethylaluminum (TMA, AlMe3) was used as the metal precursor, together with HF, for the at. layer etching (ALE) of Al2O3 using sequential, self-limiting thermal reactions. Al2O3 ALE using TMA demonstrates that other metal precursors, in addn. to Sn(acac)2, can be employed for Al2O3 ALE. The use of TMA for Al2O3 ALE is esp. interesting because TMA can also be used for Al2O3 at. layer deposition (ALD). Quartz crystal microbalance (QCM) expts. monitored Al2O3 ALE at 250-325°. The Al2O3 ALE was linear vs. the no. of HF and TMA reaction cycles. The QCM studies showed that the sequential HF and TMA reactions were self-limiting vs. reactant exposure. The Al2O3 etching rates increased at higher temps. The QCM anal. measured mass change per cycle (MCPC) values that varied from -4.2 ng/(cm2 cycle) at 250° to -23.3 ng/(cm2 cycle) at 325°. These MCPCs correspond to Al2O3 etch rates from 0.14 Å/cycle at 250° to 0.75 Å/cycle at 325°. X-ray reflectivity and spectroscopic ellipsometry analyses confirmed the linear removal of Al2O3 and etching rates. FTIR spectroscopy measurements monitored Al2O3 ALE by observing the loss of IR absorbance from Al-O stretching vibrations. Surface intermediates were also identified after the HF and TMA exposures. Al2O3 ALE with TMA is believed to occur by the reaction Al2O3 + 4AlMe3 + 6HF → 6AlFMe2 + 3H2O. The proposed mechanism involves fluorination and ligand-exchange reactions. The HF exposure fluorinates the Al2O3 and forms an AlF3 surface layer and H2O as a volatile reaction product. During the ligand-exchange transmetalation reaction, TMA accepts F from the AlF3 surface layer and donates CH3 to produce volatile AlFMe2 reaction products. The QCM measurements were consistent with an AlF3 surface layer thickness of 3.0 Å on Al2O3 after the HF exposures. The larger etch rates at higher temps. were attributed to the removal of a larger fraction of the AlF3 surface layer by TMA exposures at higher temps.
- 26Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF. ECS J. Solid State Sci. Technol. 2015, 4, N5013– N5022, DOI: 10.1149/2.0041506jss26https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXnsFOqsrc%253D&md5=e9f1b586f73c4f2c6dba17d29524a5a4Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HFLee, Younghee; DuMont, Jaime W.; George, Steven M.ECS Journal of Solid State Science and Technology (2015), 4 (6), N5013-N5022CODEN: EJSSBG; ISSN:2162-8769. (Electrochemical Society)The at. layer etching (ALEt) of HfO2 was performed using sequential, self-limiting thermal reactions with tin(II) acetylacetonate (Sn(acac)2) and HF as the reactants. The HF source was a HF-pyridine soln. The etching of HfO2 was linear with at. level control vs. no. of Sn(acac)2 and HF reaction cycles. The HfO2 ALEt was measured at temps. from 150-250°C. Quartz crystal microbalance (QCM) measurements detd. that the mass change per cycle (MCPC) increased with temp. from -6.7 ng/(cm2 cycle) at 150°C to -11.2 ng/(cm2 cycle) at 250°C. These MCPC values correspond to etch rates from 0.070 Å/cycle at 150°C to 0.117 Å/cycle at 250°C. X-ray reflectivity anal. confirmed the linear removal of HfO2 and measured an HfO2 ALEt etch rate of 0.11 Å/cycle at 200°C. Fourier transform IR (FTIR) spectroscopy measurements also obsd. HfO2 ALEt using the IR absorbance of the Hf-O stretching vibration. FTIR anal. also revealed absorbance features consistent with HfF4 or HfFx surface species as a reaction intermediate. The HfO2 etching is believed to follow the reaction: HfO2 + 4Sn(acac)2 + 4HF → Hf(acac)4 + 4SnF(acac) + 2H2O. In the proposed reaction mechanism, Sn(acac)2 donates acac to the substrate to produce Hf(acac)4. HF allows SnF(acac) and H2O to leave as reaction products. The thermal ALEt of many other metal oxides, as well as metal nitrides, phosphides, sulfides and arsenides, should be possible by a similar mechanism.
- 27Zywotko, D. R.; George, S. M. Thermal Atomic Layer Etching of ZnO by a “Conversion-Etch” Mechanism Using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum. Chem. Mater. 2017, 29, 1183– 1191, DOI: 10.1021/acs.chemmater.6b0452927https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXkvVGmtw%253D%253D&md5=51e174271a98060d8a49c70037b2e884Thermal Atomic Layer Etching of ZnO by a "Conversion-Etch" Mechanism Using Sequential Exposures of Hydrogen Fluoride and TrimethylaluminumZywotko, David R.; George, Steven M.Chemistry of Materials (2017), 29 (3), 1183-1191CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)The at. layer etching (ALE) of ZnO thin films was demonstrated using sequential, self-limiting thermal reactions with HF and trimethylaluminum (TMA) as the reactants. The initial polycryst. ZnO films were grown by at. layer deposition (ALD) using diethylzinc (DEZ) and H2O at 150°. The thermal ZnO ALE process was then studied between 205-295° using various techniques. In situ quartz crystal microbalance (QCM) measurements monitored ZnO ALE at 265°. The ZnO etching was linear vs. no. of ALE cycles. The HF exposure caused a mass gain of DeltaMHF = +53 ng/cm2 from fluorination. The subsequent TMA exposure caused a large mass loss of DeltaMTMA = -172 ng/cm2. This mass loss was much larger than expected from metal fluoride removal resulting from ligand-exchange transmetalation. The large mass loss suggested that there is a conversion-etch mechanism where TMA also converts the ZnO surface to an Al2O3 surface layer. This conversion reaction is believed to occur according to 3ZnO + 2Al(CH3)3 -> Al2O3 + 3Zn(CH3)2. The ALE reaction then proceeds by Al2O3 + 6HF + 4Al(CH3)3 -> 6AlF(CH3)2 + 3H2O. In this reaction, the Al2O3 layer is 1st fluorinated by HF to produce an AlF3 surface layer. The AlF3 surface layer is then removed by ligand-exchange transmetalation with TMA to yield volatile AlF(CH3)2 reaction products. After the AlF3 removal, TMA then reacts with addnl. ZnO to regenerate the Al2O3 surface layer. The av. mass change per cycle (MCPC) during ZnO ALE was -119 ng/cm2 at 265°. This MCPC corresponds to an etch rate of 2.11 Å/cycle using a ZnO film d. of 5.62 g/cm3. The QCM measurements showed that the sequential HF and TMA reactions were self-limiting vs. reactant exposure. Ex situ spectroscopic ellipsometry (SE) detd. that the etch rates were temp. dependent and the etch rates leveled off at higher temps. The etch rates ranged from 0.01 Å/cycle at 205° to 2.19 Å/cycle at 295°C. Atomic force microscopy measurements (AFM) obsd. that the ZnO ALD films were smoothed by ZnO ALE. The conversion-etch mechanism may occur during the ALE of other metal compds. This conversion-etch mechanism reaction may expand the no. of materials that can be etched by thermal ALE methods.
- 28Johnson, N. R.; Sun, H.; Sharma, K.; George, S. M. Thermal Atomic Layer Etching of Crystalline Aluminum Nitride Using Sequential, Self-Limiting Hydrogen Fluoride and Sn(acac)2 Reactions and Enhancement by H2 and Ar Plasmas. J. Vac. Sci. Technol., A 2016, 34, 050603, DOI: 10.1116/1.495977928https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XhtlShu7rO&md5=85b5164929ad2c20e18c185e8e310519Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac)2 reactions and enhancement by H2 and Ar plasmasJohnson, Nicholas R.; Sun, Huaxing; Sharma, Kashish; George, Steven M.Journal of Vacuum Science & Technology, A: Vacuum, Surfaces, and Films (2016), 34 (5), 050603/1-050603/5CODEN: JVTAD6; ISSN:0734-2101. (American Institute of Physics)Thermal at. layer etching (ALE) of cryst. aluminum nitride (AlN) films was demonstrated using sequential, self-limiting reactions with hydrogen fluoride (HF) and tin(II) acetylacetonate [Sn(acac)2] as the reactants. Film thicknesses were monitored vs. no. of ALE reaction cycles at 275 °C using in situ spectroscopic ellipsometry (SE). A low etch rate of ∼0.07 Å/cycle was measured during etching of the first 40 Å of the film. This small etch rate corresponded with the AlOxNy layer on the AlN film. The etch rate then increased to ∼0.36 Å/cycle for the pure AlN films. In situ SE expts. established the HF and Sn(acac)2 exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN film and produces an AlF3 layer on the surface. The metal precursor, Sn(acac)2, then accepts fluorine from the AlF3 layer and transfers an acac ligand to the AlF3 layer in a ligand-exchange reaction. The possible volatile etch products are SnF(acac) and either Al(acac)3 or AlF(acac)2. Adding a H2 plasma exposure after each Sn(acac)2 exposure dramatically increased the AlN etch rate from 0.36 to 1.96 Å/cycle. This enhanced etch rate is believed to result from the ability of the H2 plasma to remove acac surface species that may limit the AlN etch rate. The active agent from the H2 plasma is either hydrogen radicals or radiation. Adding an Ar plasma exposure after each Sn(acac)2 exposure increased the AlN etch rate from 0.36 to 0.66 Å/cycle. This enhanced etch rate is attributed to either ions or radiation from the Ar plasma that may also lead to the desorption of acac surface species. (c) 2016 American Institute of Physics.
- 29Sherpa, S. D.; Ventzek, P. L. G.; Ranjan, A. Quasiatomic Layer Etching of Silicon Nitride with Independent Control of Directionality and Selectivity. J. Vac. Sci. Technol., A 2017, 35, 05C310, DOI: 10.1116/1.4993133There is no corresponding record for this reference.
- 30Miyoshi, N.; Kobayashi, H.; Shinoda, K.; Kurihara, M.; Watanabe, T.; Kouzuma, Y.; Yokogawa, K.; Sakai, S.; Izawa, M. Atomic Layer Etching of Silicon Nitride Using Infrared Annealing for Short Desorption Time of Ammonium Fluorosilicate. Jpn. J. Appl. Phys. 2017, 56, 06HB01, DOI: 10.7567/jjap.56.06hb01There is no corresponding record for this reference.
- 31Shinoda, K.; Izawa, M.; Kanekiyo, T.; Ishikawa, K.; Hori, M. Thermal Cyclic Etching of Silicon Nitride Using Formation and Desorption of Ammonium Fluorosilicate. Appl. Phys. Express 2016, 9, 106201, DOI: 10.7567/apex.9.10620131https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVOgtbc%253D&md5=08363d6920855dc0ad21e83e1c7d5736Thermal cyclic etching of silicon nitride using formation and desorption of ammonium fluorosilicateShinoda, Kazunori; Izawa, Masaru; Kanekiyo, Tadamitsu; Ishikawa, Kenji; Hori, MasaruApplied Physics Express (2016), 9 (10), 106201/1-106201/3CODEN: APEPC4; ISSN:1882-0786. (IOP Publishing Ltd.)Novel selective cyclic etching of SiN over SiO2 via the formation and desorption of ammonium fluorosilicate was developed. The formation of ammonium fluorosilicate was obsd. using XPS after hydrofluorocarbon-based radical exposure. Etching of SiN was obsd. after ammonium fluorosilicate was removed by thermal annealing. Cyclic etching tests were carried out by repeated radical exposure and thermal annealing. The etching depth increased on increasing the no. of cycles. It was found that the cyclic etching is selflimiting because the etching depth does not depend on the radical exposure time but on the no. of cycles.
- 32Ikeda, K.; Imai, S.; Matsumura, M. Atomic Layer Etching of Germanium. Appl. Surf. Sci. 1997, 112, 87– 91, DOI: 10.1016/s0169-4332(96)00995-632https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK2sXhtlOqt7w%253D&md5=53d6fc6210739a4c825a5d82a4ab7569Atomic layer etching of germaniumIkeda, Keiji; Imai, Shigeru; Matsumura, MasakiyoApplied Surface Science (1997), 112 (), 87-91CODEN: ASUSEE; ISSN:0169-4332. (Elsevier)At. layer etching of Ge has been investigated exptl. based on the surface chem. that Cl can adsorb on the clean Ge surface at room temp. and desorb thermally as GeCl2 at high-temp.. The ideal etching rate of one monolayer per cycle has been achieved. The crit. Cl2 dosage for the satd. etching rate was about 7.2 × 106 L. Increase of the surface roughness after etching of 100 cycles was about 3.5 monolayers.
- 33Lee, Y.; DuMont, J. W.; George, S. M. Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF. Chem. Mater. 2015, 27, 3648– 3657, DOI: 10.1021/acs.chemmater.5b0030033https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2MXntF2ktro%253D&md5=fbdcf45854d7ba60d0f80b4844e9c021Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HFLee, Younghee; DuMont, Jaime W.; George, Steven M.Chemistry of Materials (2015), 27 (10), 3648-3657CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Thermal Al2O3 at. layer etching (ALE) can be performed using sequential, self-limiting reactions with Sn(II) acetylacetonate (Sn(acac)2) and HF as the reactants. To understand the reaction mechanism, in situ quartz crystal microbalance (QCM) and FTIR measurements were conducted vs. temp. The mass change per cycle (MCPC) increased with temp. from -4.1 ng/(cm2 cycle) at 150° to -18.3 ng/(cm2 cycle) at 250°. Arrhenius anal. of the temp.-dependent MCPC values yielded an activation barrier for Al2O3 ALE of E = 6.6 ± 0.4 kcal/mol. The mass changes after the individual Sn(acac)2 and HF exposures also varied with temp. The mass changes after the Sn(acac)2 exposures were consistent with more Sn(acac)2 surface reaction products remaining at lower temps. The mass changes after the HF exposures were consistent with more AlF3 species remaining at higher temps. The FTIR spectroscopic anal. obsd. Al2O3 etching by measuring the loss of absorbance of Al-O stretching vibrations in the Al2O3 film. The IR absorbance of the acetylacetonate vibrational features from Sn(acac)2 surface reaction products was also smaller at higher temps. The correlation between the MCPC values and the acetylacetonate IR absorbance suggested that the Al2O3 ALE rate is inversely dependent on the acetylacetonate surface coverage. The QCM and FTIR measurements explored the nucleation of the Al2O3 ALE. A large mass gain and loss of IR absorbance of Al-O stretching vibrations after the initial HF exposure on the Al2O3 film was consistent with the conversion of Al2O3 to AlF3. FTIR expts. also obsd. the formation of AlF3 after the initial HF exposure and the presence of AlF3 on the surface after each HF exposure during Al2O3 ALE. In the proposed reaction mechanism, AlF3 is the key reaction intermediate during Al2O3 ALE. HF converts Al2O3 to AlF3 prior to removal of AlF3 by Sn(acac)2.
- 34Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional etch of magnetic and noble metals. II. Organic chemical vapor etch. J. Vac. Sci. Technol., A 2017, 35, 05C305, DOI: 10.1116/1.4983830There is no corresponding record for this reference.
- 35Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional Etch of Magnetic and Noble Metals. II. Organic Chemical Vapor Etch. J. Vac. Sci. Technol., A 2017, 35, 05C305, DOI: 10.1116/1.4983830There is no corresponding record for this reference.
- 36Nigg, H. L.; Ford, L. P.; Masel, R. I. Surface-mediated reaction pathways of 2,4-pentanedione on clean and oxygen covered Cu (210). J. Vac. Sci. Technol., A 1998, 16, 3064– 3067, DOI: 10.1116/1.581459There is no corresponding record for this reference.
- 37Kytökivi, A.; Rautiainen, A.; Root, A. Reaction of acetylacetone vapour with [gamma ]-alumina. J. Chem. Soc. Faraday. Trans. 1997, 93, 4079– 4084, DOI: 10.1039/a704993eThere is no corresponding record for this reference.
- 38George, M. A.; Hess, D. W.; Beck, S. E.; Young, K. M.; Roberts, D. A.; Vrtis, R.; Voloshin, G.; Bohling, D. A.; Lane, A. P. Reaction of 1,1,1,5,5,5-Hexafluoro-2,4-Pentanedione (H+hfac) with Iron and Iron Oxide Thin Films. J. Electrochem. Soc. 1996, 143, 3257– 3266, DOI: 10.1149/1.1837194There is no corresponding record for this reference.
- 39Jain, A.; Kodas, T. T.; Hampden-Smith, M. J. Thermal Dry-Etching of Copper Using Hydrogen Peroxide and Hexafluoroacetylacetone. Thin Solid Films 1995, 269, 51– 56, DOI: 10.1016/0040-6090(95)06877-539https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaK28XhvVGntw%253D%253D&md5=91a77954d541bdc2a809d1bb9e0209b7Thermal dry-etching of copper using hydrogen peroxide and hexafluoroacetylacetoneJain, Ajay; Kodas, T. T.; Hampden-Smith, M. J.Thin Solid Films (1995), 269 (1-2), 51-6CODEN: THSFAP; ISSN:0040-6090. (Elsevier)A new thermal dry etch process for Cu is reported which results in isotropic removal of Cu at high rates, does not involve the use of halogens such as Cl, and gave a volatile etching product. Applications include cleaning of CVD reactors and the back-side of wafers. The process involves oxidn. of Cu by H2O2 (H2O2) vapor to form either Cu(I) or Cu(II) oxide depending on the etch temp. and removal of the Cu oxides by reaction with hexafluoroacetylacetone (hfacH) to form volatile Cu(bis-hexafluoroacetylacetonate) (Cu(hfac)2) and H2O. Cu was etched at temps. ≥150° and at rates of up to ∼1 μm min-1 at 190° by simultaneous flow of H2O2 and hfacH over a heated substrate. The etch rate increased with substrate temp., etchant flow rates, and chamber pressure over the range of the parameters studied. The rate-limiting regime was identified by observing the film color during etching; a dark-brown color suggested fast oxidn. with slow removal of Cu oxide as the rate limiting step while a Cu color suggested fast removal of Cu oxide from the surface with oxidn. as the rate-limiting step. The partially etched Cu films were less reflective and exhibited higher surface roughness compared with the sputter-deposited Cu used for etching.
- 40Hauge, H. I. T.; Conesa-Boj, S.; Verheijen, M. A.; Koelling, S.; Bakkers, E. P. A. M. Single-Crystalline Hexagonal Silicon-Germanium. Nano Lett. 2017, 17, 85– 90, DOI: 10.1021/acs.nanolett.6b0348840https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28XitFamsrrN&md5=224910a9dd0a95b476b0c3e20425887aSingle-Crystalline Hexagonal Silicon-GermaniumHauge, Hakon Ikaros T.; Conesa-Boj, Sonia; Verheijen, Marcel A.; Koelling, Sebastian; Bakkers, Erik P. A. M.Nano Letters (2017), 17 (1), 85-90CODEN: NALEFD; ISSN:1530-6984. (American Chemical Society)The feasibility of high-quality defect-free and wafer-scale hexagonal Si1-xGex growth was demonstrated with precise control of the alloy compn. and layer thickness. This is achieved by transferring the hexagonal phase from a GaP/Si core/shell nanowire template, the same method employed by one to realize hexagonal Si. The authors det. the optimal growth conditions to achieve single-cryst. layer-by-layer Si1-xGex growth in the preferred stoichiometry region. The results pave the way for exploiting the novel properties of hexagonal Si1-xGex alloys in technol. applications.
- 41Https://imagej.nih.gov/ij/.There is no corresponding record for this reference.
- 42Mameli, A.; Merkx, M. J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. E. M. M.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano 2017, 11, 9303– 9311, DOI: 10.1021/acsnano.7b0470142https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXhsVWhtrrM&md5=76c5f8a015a87d3aa07092d2c7188f97Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycleMameli, Alfredo; Merkx, Marc J. M.; Karasulu, Bora; Roozeboom, Fred; Kessels, Wilhelmus M. M.; Mackus, Adriaan J. M.ACS Nano (2017), 11 (9), 9303-9311CODEN: ANCAC3; ISSN:1936-0851. (American Chemical Society)Area-selective at. layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor mols. in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform IR spectroscopy expts. and d. functional theory calcns. underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor mols.
- 43Pécz, B.; Baji, Z.; Lábadi, Z.; Kovács, A. ZnO Layers Deposited by Atomic Layer Deposition. Journal of Physics: Conference Series in 18th Microscopy of Semiconducting Materials Conference (MSM XVIII) , 2013; Vol. 471, p 12015.There is no corresponding record for this reference.
- 44Profijt, H. B.; Kudlacek, P.; van de Sanden, M. C. M.; Kessels, W. M. M. Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides. J. Electrochem. Soc. 2011, 158, G88– G91, DOI: 10.1149/1.3552663There is no corresponding record for this reference.
- 45National Institute of Standards and Technology. NIST Webbook. In Chemistry WebBook, NIST Standard Reference Database Number 69; Linstrom, P. J., Mallard, W. G., Eds.; National Institute of Standards and Technology: Gaithersburg MD, 20899.There is no corresponding record for this reference.
- 46Tayyari, S. F.; Milani-nejad, F. Vibrational Assignment of Acetylacetone. Spectrochim. Acta, Part A 2000, 56, 2679– 2691, DOI: 10.1016/s1386-1425(00)00304-846https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BD3MXhsV2jsQ%253D%253D&md5=3de32b117f4e6efee5345f6ec4dadb67Vibrational assignment of acetylacetoneTayyari, S. F.; Milani-Nejad, F.Spectrochimica Acta, Part A: Molecular and Biomolecular Spectroscopy (2000), 56A (14), 2679-2691CODEN: SAMCAS; ISSN:1386-1425. (Elsevier Science B.V.)The IR and Raman spectra of acetylacetone (I) and its deuterated analogs have been analyzed by means of ab initio calcns. at post Hartree-Fock level and considering the spectral behavior upon deuteration. By deconvolution of the IR spectra of I and acetylacetone-d6 at 1600 cm-1 region a broad and strong band is found and correlated with the strong Raman lines obsd. for these compds. in this region. The broadness of this IR band at room temp. and it's splitting at low temp. is attributed to free rotation of Me group attached to carbonyl group at room temp. Furthermore it is found that all ring modes in 1200-1600 cm-1 region more or less are mixed with the OH in plane bending motion.
- 47Niven, M. L.; Thornton, D. A. Band Assignment in the Infrared Spectrum of Zinc Acetylacetonate Monohydrate by 18O, 68Zn and 64Zn-Labelling. Spectrosc. Lett. 1980, 13, 419– 425, DOI: 10.1080/0038701800806403347https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADyaL3cXlsVSgs70%253D&md5=63d9e3b6435b39a66073b25259502366Band assignments in the infrared spectrum of zinc acetylacetonate monohydrate by oxygen-18-, zinc-68 and zinc-64 labelingNiven, Margaret L.; Thornton, David A.Spectroscopy Letters (1980), 13 (6), 419-25CODEN: SPLEBX; ISSN:0038-7010.The IR spectrum of bis(acetylacetonato)zinc(II) monohydrate and its 18O-, 68Zn- and 64Zn-labeled analogs was detd. Band assignments were made on the basis of the isotopically-induced shifts in relation to C4v localized point symmetry of the mol. Unlike tris(acetylacetonato)chromium(III), there is no disagreement between the results of the 18O and metal isotope labeling techniques.
- 48Helms, A. B.; Burgess, J. S.; Street, S. C. Surface Studies of 2,4-Pentanedione on γ-Al2O3/NiAl (100) and NiAl (100). Surf. Sci. 2009, 603, 3262– 3266, DOI: 10.1016/j.susc.2009.09.008There is no corresponding record for this reference.
- 49Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2. ACS Nano 2015, 9, 2061– 2070, DOI: 10.1021/nn507277fThere is no corresponding record for this reference.
- 50Lee, Y.; Huffman, C.; George, S. M. Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. Chem. Mater. 2016, 28, 7657– 7665, DOI: 10.1021/acs.chemmater.6b0254350https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC28Xhs1Sktr7F&md5=99bd8b23418e8136c0075717caa21c98Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange ReactionsLee, Younghee; Huffman, Craig; George, Steven M.Chemistry of Materials (2016), 28 (21), 7657-7665CODEN: CMATEX; ISSN:0897-4756. (American Chemical Society)Atomic layer etching (ALE) can result from sequential, self-limiting thermal reactions. The reactions during thermal ALE are defined by fluorination followed by ligand exchange using metal precursors. The metal precursors introduce various ligands that may transfer during ligand exchange. If the transferred ligands produce stable and volatile metal products, then the metal products may leave the surface and produce etching. Selectivity in thermal ALE was examd. by exploring Sn(II) acetylacetonate (Sn(acac)2), trimethylaluminum (TMA), dimethylaluminum chloride (DMAC), and SiCl4 as the metal precursors. These metal precursors provide acac, Me, and chloride ligands for ligand exchange. HF-pyridine was employed as the fluorination reagent. Spectroscopic ellipsometry was used to measure the etch rates of Al2O3, HfO2, ZrO2, SiO2, Si3N4, and TiN thin films on Si wafers. The spectroscopic ellipsometry measurements revealed that HfO2 was etched by all of the metal precursors. Al2O3 was etched by all of the metal precursors except SiCl4. ZrO2 was etched by all of the metal precursors except TMA. In contrast, SiO2, Si3N4, and TiN were not etched by any of the metal precursors. These results can be explained by the stability and volatility of the possible reaction products. Temp. can also be used to obtain selective thermal ALE. The temp. dependence of ZrO2, HfO2, and Al2O3 ALE was examd. using SiCl4 as the metal precursor. Higher temps. can discriminate between the etching of ZrO2, HfO2, and Al2O3. The temp. dependence of Al2O3 ALE was also examd. using Sn(acac)2, TMA, and DMAC as the metal precursors. Sn(acac)2 etched Al2O3 at temps. ≥150°. DMAC etched Al2O3 at higher temps. ≥225°. TMA etched Al2O3 at even higher temps. ≥250°. The combination of different metal precursors with various ligands and different temps. can provide multiple pathways for selective thermal ALE.
- 51DuMont, J. W.; Marquardt, A. E.; Cano, A. M.; George, S. M. Thermal Atomic Layer Etching of SiO2 by a “Conversion-Etch” Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride. ACS Appl. Mater. Interfaces 2017, 9, 10296– 10307, DOI: 10.1021/acsami.7b0125951https://chemport.cas.org/services/resolver?origin=ACS&resolution=options&coi=1%3ACAS%3A528%3ADC%252BC2sXjsVCmu7s%253D&md5=bb3ce07bc6b8fa543ae372767ee90870Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen FluorideDuMont, Jaime W.; Marquardt, Amy E.; Cano, Austin M.; George, Steven M.ACS Applied Materials & Interfaces (2017), 9 (11), 10296-10307CODEN: AAMICK; ISSN:1944-8244. (American Chemical Society)The thermal at. layer etching (ALE) of SiO2 was performed using sequential reactions of trimethylaluminum (TMA) and HF at 300°. Ex situ x-ray reflectivity (XRR) measurements revealed that the etch rate during SiO2 ALE was dependent on reactant pressure. SiO2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were obsd. at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 torr, resp. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates vs. reactant pressure. In situ FTIR spectroscopy studies also obsd. SiO2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. The FTIR spectra revealed that an Al2O3/aluminosilicate intermediate was present after the TMA exposures. The Al2O3/aluminosilicate intermediate is consistent with a conversion-etch mechanism where SiO2 is converted by TMA to Al2O3, aluminosilicates, and reduced Si species following a family of reactions represented by 3SiO2 + 4AlMe3 → 2Al2O3 + 3SiMe4. Ex situ XPS studies confirmed the redn. of Si species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al2O3 and aluminosilicates to species such as AlF3 and SiOxFy. Subsequently, TMA can remove the AlF3 and SiOxFy species by ligand-exchange transmetalation reactions and then convert addnl. SiO2 to Al2O3. The pressure-dependent conversion reaction of SiO2 to Al2O3 and aluminosilicates by TMA is crit. for thermal SiO2 ALE. The conversion-etch mechanism may also provide pathways for addnl. materials to be etched using thermal ALE.
Supporting Information
Supporting Information
The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.8b12767.
Schematic of the ZnO ALE cycle developed in this work; elemental analysis from XPS surface scans of ZnO samples before and after ALE; SEM cross-sectional image of the GaP NW substrate; low-magnification HAADF–STEM image of the ZnO-covered NW; high-magnification HAADF–STEM images; and schematic of possible reaction pathways (PDF)
Terms & Conditions
Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.