ACS Publications. Most Trusted. Most Cited. Most Read
Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma
My Activity

Figure 1Loading Img
  • Open Access
Research Article

Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma
Click to copy article linkArticle link copied!

  • A. Mameli
    A. Mameli
    Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
    More by A. Mameli
  • M. A. Verheijen
    M. A. Verheijen
    Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
  • A. J. M. Mackus
    A. J. M. Mackus
    Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
  • W. M. M. Kessels
    W. M. M. Kessels
    Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
  • F. Roozeboom*
    F. Roozeboom
    Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
    TNO-Holst Centre, High Tech Campus 21, Eindhoven 5656 AE, The Netherlands
    *E-mail: [email protected]
    More by F. Roozeboom
Open PDFSupporting Information (1)

ACS Applied Materials & Interfaces

Cite this: ACS Appl. Mater. Interfaces 2018, 10, 44, 38588–38595
Click to copy citationCitation copied!
https://doi.org/10.1021/acsami.8b12767
Published October 4, 2018

Copyright © 2018 American Chemical Society. This publication is licensed under CC-BY-NC-ND.

Abstract

Click to copy section linkSection link copied!

Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.

Copyright © 2018 American Chemical Society

Introduction

Click to copy section linkSection link copied!

As the smallest features in semiconductor devices continue shrinking toward single-digit nanometer dimensions in order to enable ever-higher performance and cost-effective electronics, techniques for precise material deposition and/or removal are of paramount importance. (1−4) Aggressive scaling of silicon-based devices has been enabled by the availability of advanced processing techniques. Here, an example is the so-called self-aligned multiple patterning that allows to pattern structures far below the limits of immersion lithography, and it is currently implemented during flash memory and multigate [fin field-effect transistor (finFET)] fabrication. (5) Looking further ahead, atomic-scale processing will become a necessity in order to enable technological leaps forward such as in the Internet of Things and artificial intelligence. (6) For example, the development of advanced computing methods such as neuromorphic and quantum computing requires to process atomically thin and other exotic materials into complex architectures. (7−9) Here, precise deposition and etching techniques are imperative to create and manipulate low-dimensional structures such as two-dimensional materials, nanowire (NW) arrays, or quantum dots into functional device structures. (6)
In the past decades, atomic layer deposition (ALD) has become an established technique to provide unparalleled control over thin-film deposition, even on the high-aspect ratio structures that are increasingly used in the semiconductor industry. On the other hand, its etching counterpart, that is, atomic layer etching (ALE), is only recently emerging as an enabling technique for advanced etch applications. ALE is still in its infancy, and further advancement in this research field will be necessary to expand the capabilities and the toolbox of atomic-scale processing. (5) In ALE, atomic-scale control during material removal is achieved through the use of alternated and self-limiting half-reactions that are interleaved by purge steps. Because of this characteristic, ALE allows to achieve Ångström-level control, high uniformity, and extreme pattern fidelity over material removal. (10−14)
ALE is usually classified into two categories: anisotropic (directional) and isotropic etching, depending on the targeted application. Of these, anisotropic ALE is being largely investigated as it holds promise for pattern transferring, etching of sacrificial layers during self-aligned multiple patterning, and patterning of gate spacers during finFET fabrication. (13,15−17) On the other hand, with the increasing number of complex three-dimensional (3D) structures that are created for device fabrication, the need for isotropic ALE is getting increasing attention. Isotropic ALE is deemed essential for patterning of the gate spacer in future gate-all-around FETs where highly selective and conformal material removal is required. (18) Moreover, isotropic ALE has been proposed for achieving high-resolution nanoimprint lithography (19) and lateral etching in 3D-NAND flash memories fabrication. (20) ALE has also great potential in replacing wet etching processes where the liquid etchants with their critical surface tensions may cause capillary effects that make nanometer-sized patterns collapse. (20) Furthermore, ALE may also find applications in fine-tuning the transport properties of NW–metal contacts by nanoscale removal of the native semiconductor material at the edge of the nanocontact. (21)
Anisotropic ALE is generally associated with plasma-based processing. Here, the ionic component of a plasma is harnessed to provide directionality. This is typically achieved by applying an external bias voltage to the substrate table, during the plasma-based half-reaction. Because of the negative bias voltage applied, ions are accelerated in the plasma sheath and thus impinge normally to the surface (ion-driven plasma-based reaction). (22) During the first half-reaction (A), the surface of the target material is modified in a self-limiting manner by the exposure to a reagent. In the second half-reaction (B), the modified material is removed by means of ion-driven plasma-based reactions. Provided the ion energy is below the sputtering threshold, only subsurface bonds are broken and volatile products are released from the surface of the target material. (10,11,13,14,23,24)
On the other hand, isotropic ALE is achieved by employing nondirectional species in both half-reactions. Recently, George and co-workers introduced thermal ALE, where sequential and self-limiting thermochemical (i.e., without the use of plasma species) half-reactions are alternated in a cyclic fashion. (2,12,25−28) During half-reaction A, the surface of the target material is modified in a self-limiting manner by the exposure to a reagent and subsequently removed by means of a suitable “coreactant” in the half-reaction B.
Isotropic ALE can also be carried out by using a plasma-based process, as long as the plasma is operated in a regime that harnesses the isotropic nature of reactive plasma radicals. In contrast to ions which are charged and are accelerated over the plasma sheath, neutral radical species diffuse toward the surface, therefore initiating isotropic surface reactions (radical-driven plasma-based reaction). This regime, which will be the focus of this work, has been less explored for ALE. (18,29,30)
The possibilities for achieving ALE are manifold, and different processing steps could also be combined (e.g., ion-driven reactions, neutral beams, radical-driven reactions, thermochemical reactions, annealing steps, etc.) (2,6−9,13,14,20−28) to achieve the required etch profile, etch selectivity, and process compatibility. Figure 1 illustrates some of the possible ALE approaches which are categorized by the following:
(i)

the resulting etch profile: anisotropic or isotropic, and

(ii)

the employed surface reactions: plasma-based or purely thermally driven.

Figure 1

Figure 1. Schematic overview of several approaches for ALE categorized into anisotropic and plasma-based; isotropic and plasma-based; and isotropic and purely thermally driven. Anisotropic ALE can be obtained by employing (a) plasma radical-driven reaction to modify the material surface (half-reaction A) and plasma-generated ions or neutral beams to directionally remove the modified layer (B); (b) thermochemical reaction to modify the material surface (A) and plasma-generated ions or neutral beams to directionally remove the modified layer (B). Isotropic ALE can be obtained using (c) thermochemical reaction to remove the material, while surface modification takes place (A), and a radical-driven plasma step to reset the surface (B); (d) plasma radical-driven reaction to modify the material surface (A) and a thermal annealing step to desorb the modified layer (B); (e) thermochemical reaction to modify the surface (A) and a second thermochemical reaction to remove the modified surface layer (B); or (f) thermochemical reaction to modify the surface (A) and a thermal annealing step to desorb the modified layer (B). Examples of the approaches are given in refs (11,13,14) for (a); ref (24) for (b); this work for (c); refs (18,20,31) for (d); refs (13,16) for (e); and ref (32) for (f).

In this work, we introduce a novel approach for isotropic ALE by combining a thermochemical half-reaction with a plasma radical-driven half-reaction (see Figure 1c). In particular, a thermochemical reaction (half-reaction A) that employs acetylacetone (Hacac) is used to remove the material. This reaction appears to be self-terminating because of the formation of a carbonaceous surface layer that inhibits further etching. Next, a radical-driven O2 plasma pulse (half-reaction B) is used to restore the surface for the next ALE cycle. The process concept is inspired by the use of Sn(acac)2 in thermal ALE (2,26,33) and β-diketones in organic vapor-phase etching. (34−39)
ALD-grown polycrystalline ZnO layers were chosen for demonstrating the feasibility of our process. This plasma-based ALE process can serve as an alternative approach to thermal ALE of ZnO using trimethylaluminum (TMA) and fluorine-based chemistry, which was recently conducted on planar substrates by Zywotko et al. (27)
We observed that alternating dosage of Hacac and O2 plasma led to a reproducible etch per cycle (EPC) of 1.3 Å. Furthermore, the isotropic nature of the ALE process presented in this work was demonstrated by etching ZnO that was predeposited on an array of vertical NWs. A reaction mechanism is proposed on the basis of in situ Fourier transform infrared (FTIR) measurements. Finally, we discuss the possibility of extending this process to other oxide films, such as Al2O3, and show high etch selectivities (∼80:1) over SiO2 and HfO2.

Experimental Section

Click to copy section linkSection link copied!

ZnO Preparation by ALD

ZnO thin films were deposited on 2 × 2 cm2 c-Si wafer coupons using ALD in an OpAL reactor from Oxford Instruments equipped with a 200 mm substrate table. Diethylzinc (DEZ) and water (H2O) were employed as the precursor and the coreactant, respectively, at a substrate temperature of 250 °C. The recipe consisted of 40 ms of DEZ and 100 ms of H2O exposure interleaved by 5 s argon purges. The same recipe was also employed to deposit conformal layers of ZnO on substrates with GaP NWs, having a 7% Ge-doped Si (Si/Ge) shell.
From separate studies on the bare NWs, it is known that these wires exhibit atomically flat sidewall facets, making them an excellent substrate for etch profile studies. (40) The temperature was 150 °C during the deposition on the NW samples.

ALE Process of ZnO

The etching experiments were carried out in an Oxford Instruments FlexAL reactor, equipped with a remote inductively coupled plasma (ICP) source (13.56 MHz), a 200 mm substrate table, a turbomolecular pump, and a loadlock. Prior to all etching experiments, the samples were subjected to a 3 min O2 plasma cleaning step at a pressure of ∼10 mTorr and a power of 200 W.
Hacac (≥99% ReagentPlus; CAS 123-54-6) from Sigma-Aldrich was employed without further purification. Hacac was kept at room temperature in a stainless-steel container and vapor-drawn into the chamber using multiple pulses of 2 s each and a 1 s hold step (∼400 mTorr), interleaved by 5 s long intermittent Ar purges (300 sccm). The ALE cycle was completed by a 5 s O2 plasma step at a pressure of ∼10 mTorr (stabilized by a preliminary 3 s O2 flow in conjunction with a butterfly valve before the turbopump) and an ICP power of 200 W, followed by a separate 5 s Ar purge (100 sccm). For a schematic of the cycle, see the Supporting Information (Figure S1). The etching experiments were conducted at substrate temperatures of 100, 150, 200, or 250 °C.

Analytical Methods

In situ and ex situ spectroscopic ellipsometry (SE) was performed using a J.A. Woollam M2000D ellipsometer. A Cauchy parameterization was used to model the ψ- and δ-values measured by SE. X-ray photoelectron spectroscopy measurements were carried out using a K-Alpha system from ThermoFisher Scientific. To study the resulting etch profile of this ALE process, an array of NWs were covered with ZnO layers using ALD (see above). Part of this array was subjected to the ALE process and compared with nonetched NW samples using transmission electron microscopy (TEM). Several NWs were taken and analyzed using TEM to determine the ZnO thickness before and after ALE. The TEM measurements were conducted in high-angle annular dark-field (HAADF)–scanning TEM (STEM) and high-resolution TEM modes using a probe-corrected TEM system (JEOL JEM ARM 200F). The ZnO thickness was measured at distinct regions separated by 1 μm along the length of each NW sample using ImageJ software. (41) Twenty thickness measurements were taken at each region and averaged to account for the ZnO surface roughness.
In situ FTIR transmission spectroscopy experiments were carried out in a home-built ALD setup (which is similar to the reactor used for the ALE experiments), using a Bruker Vector FTIR spectrometer with a mid-infrared light source (Globar ≈ 10 000–50 cm–1) and a liquid N2-cooled mercury cadmium telluride detector with a spectral range of 12 000–550 cm–1. FTIR measurements were performed on ZnO nanopowder (<100 nm particle size) that was pellet-pressed on a tungsten mesh. The powder was heated to 150 °C by passing a current through the mesh. The reaction chamber was pressurized with Hacac vapor and held at a constant pressure of ∼1 Torr for 30 s to ensure adsorption of Hacac on the powder. The pressure during the plasma step was ∼10 mTorr.

Results and Discussion

Click to copy section linkSection link copied!

ZnO ALE on Planar Substrates

The etching behavior was investigated using in situ SE by monitoring the ZnO film thickness upon exposure to three different pulse sequences: (1) alternated pulses of Hacac and O2 gas, (2) alternated pulses of Hacac and O2 plasma, and (3) multiple pulses of only O2 plasma. The O2 gas pulses in sequence 1 were included to keep the cycle time constant and as a control experiment to assess whether the O2 plasma is necessary to achieve etching. Figure 2 shows the ZnO thickness variation for pulse sequences 1, 2, and 3 for a process temperature of 250 °C. Pulsing sequences 1 and 3 resulted in no significant ZnO thickness change (Figure 2a,c), whereas sequence 2 induced a linear thickness decrease (Figure 2b). An EPC value of 1.31 ± 0.08 Å was calculated by linear regression of the data shown in Figure 2b. These results demonstrate the unique synergy of the alternated dosing of Hacac and O2 plasma (sequence 2) that is required to achieve ZnO etching, whereas no significant ZnO etching is observed when dosing only one of the two reactants. Looking in more detail at sequence 1 in Figure 2a, we note that upon exposure to Hacac an apparent thickness increase of ∼2 Å was detected after the first 10 cycles, whereas the thickness decreases slightly afterward. This apparent thickness increase can be attributed to the adsorption of Hacac molecules onto the ZnO surface, similarly to what has been observed on Al2O3 substrates for the ABC-type area-selective ALD of SiO2. (42) The subsequent thickness decrease might be due to partial decomposition of acac species on the ZnO surface as will be discussed below. Note that the measured thickness does not decrease below the starting ZnO thickness during sequence 1.

Figure 2

Figure 2. ZnO thickness evolution as a function of the number of cycles for (a) sequence 1: Hacac and O2 gas (circles); (b) sequence 2: Hacac and O2 plasma (squares); and (c) sequence 3: only O2 plasma pulses (diamonds). Significant ZnO thickness decrease was observed only for sequence 2. All of the experiments were carried out at a temperature of 250 °C.

To investigate the self-limiting nature of the ALE half-reactions, in situ SE was used to measure the EPC at a fixed exposure time of the first half-reaction, while varying the exposure time of the second half-reaction and vice versa. Figure 3a,b shows the measured EPC values as a function of the Hacac and O2 plasma exposures, respectively. The EPC as a function of the Hacac exposure was observed to saturate at a value of 1.31 ± 0.08 Å for a total Hacac exposure time of 27 s (including the hold steps as described in the Experimental Section). The EPC as a function of the O2 plasma exposure time shows saturation already after 2 s. Longer O2 plasma exposures result in EPC values that lie within the error range. Taken together, these data demonstrate the self-limiting nature of the two half-reactions employed.

Figure 3

Figure 3. (a) EPC as a function of the Hacac exposure time for a fixed O2 plasma step of 5 s. Saturation (self-limiting behavior) was reached for a total Hacac exposure of 27 s, resulting in an EPC of 1.31 Å/cycle. (b) EPC as a function of the O2 plasma exposure time for a fixed Hacac dose of 27 s. A saturated EPC value was measured for all the investigated O2 plasma exposure times (≥2 s). The processing temperature was 250 °C. The dashed lines serve as a guide to the eye.

Figure 4 displays the ZnO thickness as a function of the number of ALE cycles for temperatures in a range of 100–250 °C. The ZnO thickness was found to decrease linearly with the number of ALE cycles for each temperature, in line with a layer-by-layer etching mechanism. The EPCs were determined to be 0.54 ± 0.05, 0.97 ± 0.07, 1.25 ± 0.08, and 1.31 ± 0.08 Å for processing temperatures of 100, 150, 200, and 250 °C, respectively.

Figure 4

Figure 4. ZnO thickness as a function of the number of ALE cycles for temperatures between 100 and 250 °C, as measured by in situ SE.

The surface of the ZnO thin films, before and after ALE, was investigated by ex situ XPS to check for possible stoichiometry alterations and for the presence of surface contamination (see the Supporting Information, Table S1). The stoichiometry, as in the ratio Zn/O, was found to be preserved over 100 ALE cycles. A comparable amount of carbon (12–13 at. %) was measured on both surfaces, which can be attributed to the adsorption of adventitious carbon on the sample upon exposure to atmosphere.

ZnO ALE on 3D Substrates

The ALE process was also tested on a 3D substrate consisting of a regular array of vertical NWs (see the Supporting Information, Figure S2), conformally covered with a 60 ± 2 nm thick polycrystalline ZnO layer. The NWs were then subjected to 120 cycles of the ALE process at 250 °C. Figure 5a,b shows the TEM images of a pair of 7 μm long NWs, one before and one after ALE. For each of those NWs, high-magnification STEM images were acquired every 1 μm along the length of the NW. For the complete set of STEM images, see the Supporting Information (Figure S3).

Figure 5

Figure 5. Low-magnification HAADF–STEM image of a ZnO-covered NW together with high-magnification images of the top, center, and bottom regions (a) before and (b) after ALE. (c) Averaged ZnO thicknesses as measured every micrometer along the NWs before and after ALE. The standard deviation of the measurements is taken as the error. (d) High-magnification TEM image of the ZnO layer after the ALE process. Lattice fringes are observable up to the top surface, indicating that no surface amorphization occurs during the ALE process.

Figure 5a,b also displays high-magnification STEM images recorded at the top, center, and bottom regions of each NW. STEM images of an extra independent pair of NWs from the same array, before and after ALE, are shown in the Supporting Information (Figure S4), together with the measured ZnO thicknesses.
The STEM images reveal a decrease in ZnO thickness after the ALE process. The film thickness reduction (15 ± 2 nm) is comparable at all regions of the NW, as depicted in Figure 5c. From the STEM-measured thickness differences (before and after ALE), an averaged EPC of 1.3 ± 0.2 Å was obtained across the full length of the NW. This EPC value is in excellent agreement with the EPC measured by in situ SE (i.e., 1.31 Å/cycle). The result clearly demonstrates the accurate etch control and the isotropic nature of the ALE process. Figure 5d shows a high-resolution TEM image of the top ZnO layers after the ALE process. Lattice fringes are visible up to the top surface layer, demonstrating that the ZnO surface retains its crystallinity upon ALE. (43) This result indicates that no significant damage or amorphization occurs during the ALE process. The observed isotropic etch profile and the fact that the ZnO surface is not damaged or amorphized are in line with a negligible role of ions in the ALE mechanism, illustrating that the O2 plasma ALE half-reaction is predominantly driven by radicals. Indeed, for the employed plasma conditions described in the Experimental Section (ALE process of ZnO), ion energies of only ∼20 eV can be expected, (44) which makes sputtering of ZnO through ion-induced collisions unlikely.

Proposed Reaction Mechanism

In situ FTIR spectroscopy was used to elucidate the self-limiting behavior of the ALE process. Figure 6a,b shows the FTIR spectra after three consecutive Hacac or O2 plasma exposures on the pellet-pressed ZnO powder at a temperature of 150 °C. After the first Hacac dose, adsorption of Hacac is shown by the appearance of positive peaks between 900 and 1600 cm–1 (Figure 6a). These peaks can be assigned to acac species bonded to Zn2+ sites. (45−47) Most likely, Hacac adsorbs through a proton-transfer reaction. (42)

Figure 6

Figure 6. Difference infrared spectra for three consecutive exposures of (a) Hacac and (b) O2 plasma on ZnO powder at 150 °C. The reference spectrum for the Hacac doses was the bare ZnO powder. For the O2 plasma pulses, the spectra were referenced to the preceding Hacac exposure (third Hacac dose); therefore, the removal of species shows up as negative peaks. The spectrum baselines have been equally shifted for clarity. (c) Difference spectrum between the third Hacac dose and the 15 min O2 plasma exposure, indicating the presence of residual acac species on the ZnO surface. Peak assignment, after ref (47): 1 ν(C═O); 2 ν(C═C) + ν(C═O), ν(C═O) + δ(C–H); 3 δ(C–H) + δ(CH3); 4 ν(C–C) + ν(C–CH3); 5 δ(CH3); 6 δ(C–CH3)+ ν(C═O), where ν and δ indicate stretching and bending, respectively.

Figure 6a shows that the peak intensities remain constant after the first exposure, indicating saturation behavior for the Hacac adsorption on ZnO. The ZnO stretching modes (∼480 cm–1) fall outside the detection range of the setup and could not be monitored during the in situ measurements. Figure 6b reveals that upon O2 plasma exposure, acac species are removed from the ZnO surface. In this case, the spectra are referenced to the preceding Hacac exposure (third Hacac dose); therefore, the same absorption features show up as negative peaks, pointing at the removal of acac species from the surface. The removal most likely takes place through combustion reactions. Prolonged O2 plasma exposure caused a slight increase in the absorbance of the negative peaks, indicating further acac-species elimination. However, Figure 6c shows that complete removal is not achieved. This is probably due to the high surface area and complex topography of the ZnO powder that hamper the plasma reactions in the “bulk” of the powder.
The in situ FTIR data can be used to propose a reaction mechanism and hence an explanation for the self-limiting nature of the ALE process. As schematically depicted in Figure 7, volatile Zn(acac)2 is assumed to be the etching product of the first half-reaction (A), that is, ZnO starts to be etched. At the same time, the FTIR data in Figure 6a indicate that during this etching reaction, stable surface acac species build up on the ZnO surface. It can be speculated that acac-species binding in a chelate configuration (the two oxygen atoms of the same Hacac binding to the same Zn atom) can lead to the formation of volatile Zn(acac)2 upon further reaction with another Hacac molecule (see reaction pathway 1, Figure S5). On the contrary, acac species adsorbed in a bidentate configuration (the two oxygen atoms of the same Hacac binding to neighboring Zn atoms at the surface) cannot form a volatile product (see reaction pathway 2, Figure S5). The bidental acac surface species can remain stable on the surface (and account for the surface acac species observed by FTIR, Figure 6a), or they may partially decompose into hydrocarbon fragments. These inhibiting species remaining after half-reaction A constitute a carbonaceous layer that effectively blocks continuous etching of ZnO, which explains the self-limiting nature of the process. Similar conclusions were also drawn by Lee et al. for the thermal ALE of AlF3 using Sn(acac)2 and hydrogen fluoride (HF). (12) They also ascribe the self-limiting etching of AlF3 to the presence of acac-containing surface species that inhibit the main etching reaction. In addition, Helms et al. have proposed that part of the Hacac may decompose on the metal oxide surfaces and form a carbonaceous layer that can also contribute to inhibiting the etching reaction. (48)

Figure 7

Figure 7. Schematic of the proposed reaction mechanism for ALE of ZnO. During half-reaction A, volatile Zn(acac)2 is assumed to form from Hacac and ZnO, while leaving residual acac species and other possible carbonaceous fragments. During half-reaction B, an O2 plasma combusts the carbonaceous surface species and resets the ZnO surface for the next cycle.

In the second half-reaction (B), acac groups and/or related carbonaceous inhibiting species are removed from the ZnO surface by the O2 plasma step, as corroborated by the FTIR data in Figure 6b. Therefore, the role of the O2 plasma may be primarily to remove the carbonaceous inhibiting layer that is formed during the half-reaction A, thereby allowing etching in a cyclic fashion.

Extension to Other Materials and Etch Selectivity

The possibilities of extending this ALE process to other materials were also explored. To this end, substrates with ALD-prepared ZnO, HfO2, and Al2O3 thin films and a 90 nm thermally grown SiO2 layer were subjected to 120 cycles of the ALE process at a temperature of 250 °C within the same run. Their thicknesses were measured by ex situ SE, before and after ALE. Virtually, no thickness reduction (∼0.2 nm) was observed for SiO2 and HfO2, whereas a decrease in the thickness of 1.8 nm was observed for Al2O3 and ∼16.0 nm for ZnO. These results translate into high etch selectivities (∼80:1) for ZnO over SiO2 and HfO2 at 250 °C.
The absence of SiO2 etching can be explained by density functional theory simulations that we performed for the chemisorption reaction of Hacac on SiO2. (42) On this surface, the Hacac chemisorption was found to be thermodynamically unfavorable; therefore, SiO2 etching was not expected. The etching of HfO2, on which Hacac does adsorb, (42) would require the formation of Hf(acac)4, which we believe is unlikely on a surface because of steric reasons.
On Al2O3, the measured thickness difference translates into a formal EPC value of 0.15 Å. Similar EPC values between 0.14 and 0.61 Å (at 150 and 250 °C, respectively) have been reported for the thermal ALE of Al2O3 using Sn(acac)2 and HF. (3) Our results indicate that the ALE process introduced in this work may be explored and optimized (temperature, dosing time, etc.) to enable the etching of materials other than ZnO.
Considering the proposed reaction mechanism (Figure 7), it can be inferred that metal oxides that coordinate up to three acac ligands may be etched using this process. As described by George et al., Al2O3, Sc2O3, Fe2O3, Ga2O3, and Co2O3 can all form volatile compounds with Hacac having vapor pressures ranging from 1 to 4 Torr at 150 °C. (2,49) Therefore, it should be possible to achieve etching of these materials. Conversely, no etching should be expected in the case of metal oxides in which the metal cation is in the 4+ oxidation state.

Merits and Opportunities Provided by the Approach

When comparing the plasma-based ALE process presented in this work with the complementary thermal ALE process of ZnO using TMA and HF, (27) several differences can be highlighted. Although the thermal ALE process was reported to leave residual Al and F impurities on the ZnO surface, (27) this plasma-based ALE approach shows no significant surface contamination, thereby suggesting a cleaner etching chemistry. In the case of thermal ALE, no ZnO etching was observed for temperatures below 220 °C; conversely, the plasma-based ALE can be used to etch ZnO at temperatures as low as 100 °C. Furthermore, the two ALE processes allow for different and complementary etch selectivities, thereby expanding the possibilities of ALE. For example, the thermal ALE process, using TMA and HF, can be used to achieve etching of ZnO, Al2O3, SiO2, and HfO2. (27,50,51) Conversely, the plasma-based ALE process, using Hacac and O2 plasma, can be used to etch ZnO and Al2O3, with high etch selectivities over SiO2 and HfO2. This complementary difference in etch selectivity represents a valuable addition to the isotropic ALE toolbox.
In addition, the versatility of a plasma reactant can be used to tune the same etching chemistry from an isotropic to an anisotropic mode by carefully adjusting the plasma conditions. (29) During the plasma step, an external bias voltage can be applied to the substrate to provide directionality, if required. Alternatively, the ALE process can be extended by introducing a third step, consisting of a separate anisotropic plasma.
Finally, the use of different plasma chemistries can pave the way to the processing of other materials than oxides, for example, nitrides, sulfides, and so forth.

Conclusions

Click to copy section linkSection link copied!

A novel isotropic plasma-based approach for the ALE of ZnO was demonstrated. This process employs alternating exposures of the ZnO surface to Hacac and O2 plasma. The self-limiting behavior was verified for both half-reactions using in situ SE measurements. Furthermore, the isotropic nature of this process was established by carrying out ALE on a ZnO-covered 3D nanostructured substrate consisting of a vertical NW array. Controlled and uniform decrease of the ZnO film thickness across the entire NW length was demonstrated. Damage-free (no amorphization) ALE was corroborated by showing that the uppermost ZnO layers remained crystalline after 120 cycles.
A reaction mechanism was proposed for the ZnO ALE process in which Hacac is assumed to produce volatile Zn(acac)2. In addition, the Hacac pulse also results in acac species adsorbed on the ZnO surface and possibly other carbonaceous species that quench the etching reaction. The O2 plasma coreactant removes the adsorbed organic species and resets the ZnO surface, allowing for subsequent etching in the next cycle. Furthermore, etching of Al2O3 and a high etch selectivity (∼80:1) over SiO2 and HfO2 were demonstrated.
When compared to isotropic thermal ALE processes in the literature, the isotropic plasma-based ALE process presented in this work exhibits different etch selectivities and a wider temperature window. Therefore, we believe that this novel plasma-based approach will provide additional pathways for achieving isotropic ALE and holds potential for future applications involving high surface area and complex 3D structures for which Ångström-level control over material removal is imperative.

Supporting Information

Click to copy section linkSection link copied!

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.8b12767.

  • Schematic of the ZnO ALE cycle developed in this work; elemental analysis from XPS surface scans of ZnO samples before and after ALE; SEM cross-sectional image of the GaP NW substrate; low-magnification HAADF–STEM image of the ZnO-covered NW; high-magnification HAADF–STEM images; and schematic of possible reaction pathways (PDF)

Terms & Conditions

Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.

Author Information

Click to copy section linkSection link copied!

  • Corresponding Author
    • F. Roozeboom - Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsTNO-Holst Centre, High Tech Campus 21, Eindhoven 5656 AE, The Netherlands Email: [email protected]
  • Authors
    • A. Mameli - Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsOrcidhttp://orcid.org/0000-0001-9175-8965
    • M. A. Verheijen - Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The Netherlands
    • A. J. M. Mackus - Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsOrcidhttp://orcid.org/0000-0001-6944-9867
    • W. M. M. Kessels - Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven 5600 MB, The NetherlandsOrcidhttp://orcid.org/0000-0002-7630-8226
  • Author Contributions

    All authors have given approval to the final version of the manuscript.

  • Notes
    The authors declare no competing financial interest.

Acknowledgments

Click to copy section linkSection link copied!

This work was supported by TNO-Holst Centre. Solliance and the Dutch Province of Noord-Brabant are acknowledged for funding the TEM facility. The authors would like to thank Yizhen Ren and Erik Bakkers for providing the substrate samples with NWs and Valerio Di Palma, Bora Karasulu, and Tahsin Faraz for valuable discussions. Janneke Zeebregts, Caspar van Bommel, Joris Meulendijks, Jeroen van Gerwen, and Cristian van Helvoirt are acknowledged for technical assistance.

References

Click to copy section linkSection link copied!

This article references 51 other publications.

  1. 1
    Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9, 86518654,  DOI: 10.1021/acsnano.5b05249
  2. 2
    George, S. M.; Lee, Y. Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. ACS Nano 2016, 10, 48894894,  DOI: 10.1021/acsnano.6b02991
  3. 3
    Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. ACS Nano 2015, 9, 20612070,  DOI: 10.1021/nn507277f
  4. 4
    Kong, L.; Song, Y.; Kim, J. D.; Yu, L.; Wasserman, D.; Chim, W. K.; Chiam, S. Y.; Li, X. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching. ACS Nano 2017, 11, 1019310205,  DOI: 10.1021/acsnano.7b04752
  5. 5
    Pan, D. Z.; Liebmann, L.; Yu, B.; Xu, X.; Lin, Y. Pushing Multiple Patterning in Sub-10 nm. Proceedings of the 52nd Annual Design Automation Conference on—DAC ’15 2015, pp 16.
  6. 6
    Clark, R.; Tapily, K.; Yu, K.; Hakamata, T.; Consiglio, S.; Meara, D. O.; Wajda, C.; Smith, J.; Leusink, G. Perspective : New Process Technologies Required for Future Devices and Scaling. APL Mater. 2018, 6, 058203,  DOI: 10.1063/1.5026805
  7. 7
    Veldhorst, M.; Eenink, H. G. J.; Yang, C. H.; Dzurak, A. S. Silicon CMOS Architecture for a Spin-Based Quantum Computer. Nat. Commun. 2017, 8, 1766,  DOI: 10.1038/s41467-017-01905-6
  8. 8
    Ryder, C. R.; Wood, J. D.; Wells, S. A.; Hersam, M. C. Chemically Tailoring Semiconducting Two-Dimensional Transition Metal Dichalcogenides and Black Phosphorus. ACS Nano 2016, 10, 39003917,  DOI: 10.1021/acsnano.6b01091
  9. 9
    Burr, G. W.; Shelby, R. M.; Sebastian, A.; Kim, S.; Kim, S.; Sidler, S.; Virwani, K.; Ishii, M.; Narayanan, P.; Fumarola, A.; Sanches, L. L.; Boybat, I.; Le Gallo, M.; Moon, K.; Woo, J.; Hwang, H.; Leblebici, Y. Neuromorphic Computing Using Non-Volatile Memory. Adv. Phys.: X 2017, 2, 89124,  DOI: 10.1080/23746149.2016.1259585
  10. 10
    Lill, T.; Kanarik, K. J.; Tan, S.; Shen, M.; Hudson, E.; Pan, Y.; Marks, J.; Vahedi, V.; Gottscho, R. A. Directional Atomic Layer Etching in Encyclopedia of Plasma Technology; Shohet, J. L., Ed.; Taylor & Francis Group, CRC Press: Boca Raton, FL, 2016; Ch. 13 for your reference; eBook ISBN 9781482214314.
  11. 11
    Kanarik, K. J.; Lill, T.; Hudson, E. A.; Sriraman, S.; Tan, S.; Marks, J.; Vahedi, V.; Gottscho, R. A. Overview of Atomic Layer Etching in the Semiconductor Industry. J. Vac. Sci. Technol., A 2015, 33, 020802,  DOI: 10.1116/1.4913379
  12. 12
    Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of AlF3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. J. Phys. Chem. C 2015, 119, 2538525393,  DOI: 10.1021/acs.jpcc.5b07236
  13. 13
    Faraz, T.; Roozeboom, F.; Knoops, H. C. M.; Kessels, W. M. M. Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?. ECS J. Solid State Sci. Technol. 2015, 4, N5023N5032,  DOI: 10.1149/2.0051506jss
  14. 14
    Oehrlein, G. S.; Metzler, D.; Li, C. Atomic Layer Etching at the Tipping Point: An Overview. ECS J. Solid State Sci. Technol. 2015, 4, N5041N5053,  DOI: 10.1149/2.0061506jss
  15. 15
    Carver, C. T.; Plombon, J. J.; Romero, P. E.; Suri, S.; Tronic, T. A.; Turkot, R. B. J. Atomic Layer Etching: An Industry Perspective. ECS J. Solid State Sci. Technol. 2015, 4, N5005N5009,  DOI: 10.1149/2.0021506jss
  16. 16
    Honda, M.; Katsunuma, T.; Tabata, M.; Tsuji, A.; Oishi, T.; Hisamatsu, T.; Ogawa, S.; Kihara, Y. Benefits of Atomic-Level Processing by Quasi-ALE and ALD Technique. J. Phys. D: Appl. Phys. 2017, 50, 234002,  DOI: 10.1088/1361-6463/aa6f27
  17. 17
    Lee, C. G. N.; Kanarik, K. J.; Gottscho, R. A. The Grand Challenges of Plasma Etching: A Manufacturing Perspective. J. Phys. D: Appl. Phys. 2014, 47, 273001,  DOI: 10.1088/0022-3727/47/27/273001
  18. 18
    Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Kurihara, M.; Izawa, M.; Ishikawa, K.; Hori, M. (Invited) Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication. ECS Trans. 2017, 80, 314,  DOI: 10.1149/08003.0003ecst
  19. 19
    Khan, S. A.; Suyatin, D. B.; Sundqvist, J.; Graczyk, M.; Junige, M.; Kauppinen, C.; Kvennefors, A.; Huffman, M.; Maximov, I. High-Definition Nanoimprint Stamp Fabrication by Atomic Layer Etching. ACS Appl. Nano Mater. 2018, 1, 24762482,  DOI: 10.1021/acsanm.8b00509
  20. 20
    Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Miura, M.; Kurihara, M.; Maeda, K.; Negishi, N.; Sonoda, Y.; Tanaka, M.; Yasui, N.; Izawa, M.; Ishii, Y.; Okuma, K.; Saldana, T.; Manos, J.; Ishikawa, K.; Hori, M. Selective Atomic-Level Etching Using Two Heating Procedures, Infrared Irradiation and Ion Bombardment, for next-Generation Semiconductor Device Manufacturing. J. Phys. D: Appl. Phys. 2017, 50, 194001,  DOI: 10.1088/1361-6463/aa6874
  21. 21
    Lord, A. M.; Ramasse, Q. M.; Kepaptsoglou, D. M.; Evans, J. E.; Davies, P. R.; Ward, M. B.; Wilks, S. P. Modifying the Interface Edge to Control the Electrical Transport Properties of Nanocontacts to Nanowires. Nano Lett. 2017, 17, 687694,  DOI: 10.1021/acs.nanolett.6b03699
  22. 22
    Lieberman, M. A.; Lichtenberg, A. J. Principles of Plasma Discharges and Materials Processing; II; John Wiley & Sons: Hoboken, New Jersey, USA, 2005.
  23. 23
    Jhon, Y. I.; Min, K. S.; Yeom, G. Y.; Jhon, Y. M. Understanding Time-Resolved Processes in Atomic-Layer Etching of Ultra-Thin Al2O3 Film Using BCl3 and Ar Neutral Beam. Appl. Phys. Lett. 2014, 105, 093104,  DOI: 10.1063/1.4894523
  24. 24
    Park, S. D.; Oh, C. K.; Bae, J. W.; Yeom, G. Y.; Kim, T. W.; Song, J. I.; Jang, J. H. Atomic Layer Etching of InP Using a Low Angle Forward Reflected Ne Neutral Beam. Appl. Phys. Lett. 2006, 89, 043109,  DOI: 10.1063/1.2221504
  25. 25
    Lee, Y.; DuMont, J. W.; George, S. M. Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions. Chem. Mater. 2016, 28, 29943003,  DOI: 10.1021/acs.chemmater.6b00111
  26. 26
    Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF. ECS J. Solid State Sci. Technol. 2015, 4, N5013N5022,  DOI: 10.1149/2.0041506jss
  27. 27
    Zywotko, D. R.; George, S. M. Thermal Atomic Layer Etching of ZnO by a “Conversion-Etch” Mechanism Using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum. Chem. Mater. 2017, 29, 11831191,  DOI: 10.1021/acs.chemmater.6b04529
  28. 28
    Johnson, N. R.; Sun, H.; Sharma, K.; George, S. M. Thermal Atomic Layer Etching of Crystalline Aluminum Nitride Using Sequential, Self-Limiting Hydrogen Fluoride and Sn(acac)2 Reactions and Enhancement by H2 and Ar Plasmas. J. Vac. Sci. Technol., A 2016, 34, 050603,  DOI: 10.1116/1.4959779
  29. 29
    Sherpa, S. D.; Ventzek, P. L. G.; Ranjan, A. Quasiatomic Layer Etching of Silicon Nitride with Independent Control of Directionality and Selectivity. J. Vac. Sci. Technol., A 2017, 35, 05C310,  DOI: 10.1116/1.4993133
  30. 30
    Miyoshi, N.; Kobayashi, H.; Shinoda, K.; Kurihara, M.; Watanabe, T.; Kouzuma, Y.; Yokogawa, K.; Sakai, S.; Izawa, M. Atomic Layer Etching of Silicon Nitride Using Infrared Annealing for Short Desorption Time of Ammonium Fluorosilicate. Jpn. J. Appl. Phys. 2017, 56, 06HB01,  DOI: 10.7567/jjap.56.06hb01
  31. 31
    Shinoda, K.; Izawa, M.; Kanekiyo, T.; Ishikawa, K.; Hori, M. Thermal Cyclic Etching of Silicon Nitride Using Formation and Desorption of Ammonium Fluorosilicate. Appl. Phys. Express 2016, 9, 106201,  DOI: 10.7567/apex.9.106201
  32. 32
    Ikeda, K.; Imai, S.; Matsumura, M. Atomic Layer Etching of Germanium. Appl. Surf. Sci. 1997, 112, 8791,  DOI: 10.1016/s0169-4332(96)00995-6
  33. 33
    Lee, Y.; DuMont, J. W.; George, S. M. Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF. Chem. Mater. 2015, 27, 36483657,  DOI: 10.1021/acs.chemmater.5b00300
  34. 34
    Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional etch of magnetic and noble metals. II. Organic chemical vapor etch. J. Vac. Sci. Technol., A 2017, 35, 05C305,  DOI: 10.1116/1.4983830
  35. 35
    Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional Etch of Magnetic and Noble Metals. II. Organic Chemical Vapor Etch. J. Vac. Sci. Technol., A 2017, 35, 05C305,  DOI: 10.1116/1.4983830
  36. 36
    Nigg, H. L.; Ford, L. P.; Masel, R. I. Surface-mediated reaction pathways of 2,4-pentanedione on clean and oxygen covered Cu (210). J. Vac. Sci. Technol., A 1998, 16, 30643067,  DOI: 10.1116/1.581459
  37. 37
    Kytökivi, A.; Rautiainen, A.; Root, A. Reaction of acetylacetone vapour with [gamma ]-alumina. J. Chem. Soc. Faraday. Trans. 1997, 93, 40794084,  DOI: 10.1039/a704993e
  38. 38
    George, M. A.; Hess, D. W.; Beck, S. E.; Young, K. M.; Roberts, D. A.; Vrtis, R.; Voloshin, G.; Bohling, D. A.; Lane, A. P. Reaction of 1,1,1,5,5,5-Hexafluoro-2,4-Pentanedione (H+hfac) with Iron and Iron Oxide Thin Films. J. Electrochem. Soc. 1996, 143, 32573266,  DOI: 10.1149/1.1837194
  39. 39
    Jain, A.; Kodas, T. T.; Hampden-Smith, M. J. Thermal Dry-Etching of Copper Using Hydrogen Peroxide and Hexafluoroacetylacetone. Thin Solid Films 1995, 269, 5156,  DOI: 10.1016/0040-6090(95)06877-5
  40. 40
    Hauge, H. I. T.; Conesa-Boj, S.; Verheijen, M. A.; Koelling, S.; Bakkers, E. P. A. M. Single-Crystalline Hexagonal Silicon-Germanium. Nano Lett. 2017, 17, 8590,  DOI: 10.1021/acs.nanolett.6b03488
  41. 41
    Https://imagej.nih.gov/ij/.
  42. 42
    Mameli, A.; Merkx, M. J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. E. M. M.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano 2017, 11, 93039311,  DOI: 10.1021/acsnano.7b04701
  43. 43
    Pécz, B.; Baji, Z.; Lábadi, Z.; Kovács, A. ZnO Layers Deposited by Atomic Layer Deposition. Journal of Physics: Conference Series in 18th Microscopy of Semiconducting Materials Conference (MSM XVIII) , 2013; Vol. 471, p 12015.
  44. 44
    Profijt, H. B.; Kudlacek, P.; van de Sanden, M. C. M.; Kessels, W. M. M. Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides. J. Electrochem. Soc. 2011, 158, G88G91,  DOI: 10.1149/1.3552663
  45. 45
    National Institute of Standards and Technology. NIST Webbook. In Chemistry WebBook, NIST Standard Reference Database Number 69; Linstrom, P. J., Mallard, W. G., Eds.; National Institute of Standards and Technology: Gaithersburg MD, 20899.
  46. 46
    Tayyari, S. F.; Milani-nejad, F. Vibrational Assignment of Acetylacetone. Spectrochim. Acta, Part A 2000, 56, 26792691,  DOI: 10.1016/s1386-1425(00)00304-8
  47. 47
    Niven, M. L.; Thornton, D. A. Band Assignment in the Infrared Spectrum of Zinc Acetylacetonate Monohydrate by 18O, 68Zn and 64Zn-Labelling. Spectrosc. Lett. 1980, 13, 419425,  DOI: 10.1080/00387018008064033
  48. 48
    Helms, A. B.; Burgess, J. S.; Street, S. C. Surface Studies of 2,4-Pentanedione on γ-Al2O3/NiAl (100) and NiAl (100). Surf. Sci. 2009, 603, 32623266,  DOI: 10.1016/j.susc.2009.09.008
  49. 49
    Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2. ACS Nano 2015, 9, 20612070,  DOI: 10.1021/nn507277f
  50. 50
    Lee, Y.; Huffman, C.; George, S. M. Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. Chem. Mater. 2016, 28, 76577665,  DOI: 10.1021/acs.chemmater.6b02543
  51. 51
    DuMont, J. W.; Marquardt, A. E.; Cano, A. M.; George, S. M. Thermal Atomic Layer Etching of SiO2 by a “Conversion-Etch” Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride. ACS Appl. Mater. Interfaces 2017, 9, 1029610307,  DOI: 10.1021/acsami.7b01259

Cited By

Click to copy section linkSection link copied!

This article is cited by 33 publications.

  1. Jonathan L. Partridge, Aziz I. Abdulagatov, David R. Zywotko, Steven M. George. Limiting or Continuous Thermal Etching of First Row Transition Metal Oxides Using Acetylacetone and Ozone. Chemistry of Materials 2024, 36 (15) , 7151-7161. https://doi.org/10.1021/acs.chemmater.4c00862
  2. Alfredo Mameli, Andrew V. Teplyakov. Selection Criteria for Small-Molecule Inhibitors in Area-Selective Atomic Layer Deposition: Fundamental Surface Chemistry Considerations. Accounts of Chemical Research 2023, 56 (15) , 2084-2095. https://doi.org/10.1021/acs.accounts.3c00221
  3. Ann Lii-Rosales, Virginia L. Johnson, Sandeep Sharma, Andreas Fischer, Thorsten Lill, Steven M. George. Volatile Products from Ligand Addition of P(CH3)3 to NiCl2, PdCl2, and PtCl2: Pathway for Metal Thermal Atomic Layer Etching. The Journal of Physical Chemistry C 2022, 126 (19) , 8287-8295. https://doi.org/10.1021/acs.jpcc.1c10690
  4. Mahsa Konh, Anderson Janotti, Andrew Teplyakov. Molecular Mechanism of Thermal Dry Etching of Iron in a Two-Step Atomic Layer Etching Process: Chlorination Followed by Exposure to Acetylacetone. The Journal of Physical Chemistry C 2021, 125 (13) , 7142-7154. https://doi.org/10.1021/acs.jpcc.0c10556
  5. Chen Li, Huilong Zhu, Yongkui Zhang, Xiaogen Yin, Kunpeng Jia, Junjie Li, Guilei Wang, Zhenzhen Kong, Anyan Du, Tengzhi Yang, Liheng Zhao, Weixing Huang, Lu Xie, Yangyang Li, Xuezheng Ai, Shishuai Ma, Henry H. Radamson. Selective Digital Etching of Silicon–Germanium Using Nitric and Hydrofluoric Acids. ACS Applied Materials & Interfaces 2020, 12 (42) , 48170-48178. https://doi.org/10.1021/acsami.0c14018
  6. Suresh Kondati Natarajan, Michael Nolan, Patrick Theofanis, Charles Mokhtarzadeh, Scott B. Clendenning. Mechanism of Thermal Atomic Layer Etch of W Metal Using Sequential Oxidation and Chlorination: A First-Principles Study. ACS Applied Materials & Interfaces 2020, 12 (32) , 36670-36680. https://doi.org/10.1021/acsami.0c06628
  7. Steven M. George. Mechanisms of Thermal Atomic Layer Etching. Accounts of Chemical Research 2020, 53 (6) , 1151-1160. https://doi.org/10.1021/acs.accounts.0c00084
  8. Rita Mullins, Suresh Kondati Natarajan, Simon D. Elliott, Michael Nolan. Self-Limiting Temperature Window for Thermal Atomic Layer Etching of HfO2 and ZrO2 Based on the Atomic-Scale Mechanism. Chemistry of Materials 2020, 32 (8) , 3414-3426. https://doi.org/10.1021/acs.chemmater.9b05021
  9. Mohammad R. Aziziyan, Hemant Sharma, Jan J. Dubowski. Photo-Atomic Layer Etching of GaAs/AlGaAs Nanoheterostructures. ACS Applied Materials & Interfaces 2019, 11 (19) , 17968-17978. https://doi.org/10.1021/acsami.9b02079
  10. Doo San Kim, Hae In Kwon, Yun Jong Jang, Gyoung Chan Kim, Hong Seong Gil, Dae Whan Kim, Byeong Hwa Jeong, Geun Young Yeom. Plasma atomic layer etching of ruthenium by oxygen adsorption-removal cyclic process. Applied Surface Science 2024, 670 , 160570. https://doi.org/10.1016/j.apsusc.2024.160570
  11. Sumiko Fujisaki, Yoshihide Yamaguchi, Hiroyuki Kobayashi, Kazunori Shinoda, Masaki Yamada, Kohei Kawamura, Masaru Izawa. Oxidation state of cobalt oxide in thermal-cyclic atomic layer etching of cobalt by plasma oxidation and organometallization. AIP Advances 2024, 14 (4) https://doi.org/10.1063/5.0196724
  12. Christoffer Kauppinen. Atomic layer etching of indium tin oxide. Journal of Vacuum Science & Technology A 2024, 42 (2) https://doi.org/10.1116/6.0003170
  13. Taylor G. Smith, Ali M. Ali, Jean-François de Marneffe, Jane P. Chang. Plasma nitridation for atomic layer etching of Ni. Journal of Vacuum Science & Technology A 2024, 42 (2) https://doi.org/10.1116/6.0003263
  14. Jonathan L. Partridge, Aziz I. Abdulagatov, Varun Sharma, Jessica A. Murdzek, Andrew Cavanagh, Steven M. George. Thermal atomic layer etching of CoO using acetylacetone and ozone: Evidence for changes in oxidation state and crystal structure during sequential exposures. Applied Surface Science 2023, 638 , 157923. https://doi.org/10.1016/j.apsusc.2023.157923
  15. Andreas Fischer, Thorsten Lill. Plasma application in atomic layer etching. Physics of Plasmas 2023, 30 (8) https://doi.org/10.1063/5.0158785
  16. Holger Saare, Wenyi Xie, Gregory N. Parsons. Comparison of BCl3, TiCl4, and SOCl2 chlorinating agents for atomic layer etching of TiO2 and ZrO2 using tungsten hexafluoride. Journal of Vacuum Science & Technology A 2023, 41 (4) https://doi.org/10.1116/6.0002708
  17. Yongjae Kim, Somin Chae, Heeju Ha, Hyeongwu Lee, Sangheon Lee, Heeyeop Chae. Thermal atomic layer etching of cobalt using plasma chlorination and chelation with hexafluoroacetylacetone. Applied Surface Science 2023, 619 , 156751. https://doi.org/10.1016/j.apsusc.2023.156751
  18. Chuanlong Ma, Anton Nikiforov, Dirk Hegemann, Nathalie De Geyter, Rino Morent, Kostya (Ken) Ostrikov. Plasma-controlled surface wettability: recent advances and future applications. International Materials Reviews 2023, 68 (1) , 82-119. https://doi.org/10.1080/09506608.2022.2047420
  19. Chengyuan Yao, Wanfu Shen, Xiaodong Hu, Chunguang Hu. Evaluation of the surface and subsurface evolution of single-crystal yttrium aluminum garnet during polishing. Applied Surface Science 2023, 608 , 155219. https://doi.org/10.1016/j.apsusc.2022.155219
  20. Sumiko Fujisaki, Yoshihide Yamaguchi, Hiroyuki Kobayashi, Kazunori Shinoda, Masaki Yamada, Hirotaka Hamamura, Kohei Kawamura, Masaru Izawa. Thermal-cyclic atomic layer etching of cobalt with smooth etched surface by plasma oxidation and organometallization. Applied Physics Letters 2022, 121 (12) https://doi.org/10.1063/5.0096949
  21. Fatemeh Gashoul Daresibi, Abbas Ali Khodadadi, Yadollah Mortazavi, Simo Huotari, Mikko Ritala. Highly dispersed atomic layer deposited CrOx on SiO2 catalyst with enhanced yield of propylene for CO2 –mediated oxidative dehydrogenation of propane. Molecular Catalysis 2022, 526 , 112396. https://doi.org/10.1016/j.mcat.2022.112396
  22. Nobuya Miyoshi, Nicholas McDowell, Hiroyuki Kobayashi. Atomic layer etching of titanium nitride with surface modification by Cl radicals and rapid thermal annealing. Journal of Vacuum Science & Technology A 2022, 40 (3) https://doi.org/10.1116/6.0001827
  23. Rita Mullins, José Julio Gutiérrez Moreno, Michael Nolan. Origin of enhanced thermal atomic layer etching of amorphous HfO2. Journal of Vacuum Science & Technology A 2022, 40 (2) https://doi.org/10.1116/6.0001614
  24. . Thermal Etching. 2021, 43-50. https://doi.org/10.1002/9783527824199.ch3
  25. . Thermal Isotropic ALE. 2021, 51-83. https://doi.org/10.1002/9783527824199.ch4
  26. Andreas Fischer, Aaron Routzahn, Steven M. George, Thorsten Lill. Thermal atomic layer etching: A review. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2021, 39 (3) https://doi.org/10.1116/6.0000894
  27. Marc J. M. Merkx, Rick G. J. Jongen, Alfredo Mameli, Paul C. Lemaire, Kashish Sharma, Dennis M. Hausmann, Wilhelmus M. M. Kessels, Adriaan J. M. Mackus. Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO2. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2021, 39 (1) https://doi.org/10.1116/6.0000652
  28. Hai-Bao Zhang, Qiang Chen, . Recent progress of non-thermal plasma material surface treatment and functionalization. Acta Physica Sinica 2021, 70 (9) , 095203. https://doi.org/10.7498/aps.70.20202233
  29. Nicholas J. Chittock, Martijn F. J. Vos, Tahsin Faraz, Wilhelmus M. M. (Erwin) Kessels, Harm C. M. Knoops, Adriaan J. M. Mackus. Isotropic plasma atomic layer etching of Al2O3 using a fluorine containing plasma and Al(CH3)3. Applied Physics Letters 2020, 117 (16) https://doi.org/10.1063/5.0022531
  30. Xia Sang, Jane P. Chang. Patterning nickel for extreme ultraviolet lithography mask application. II. Hybrid reactive ion etch and atomic layer etch processing. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2020, 38 (4) https://doi.org/10.1116/6.0000191
  31. Eun Taek Lim, Moon Hwan Cha, Sung Yong Park, Ji Su Lee, Chee Won Chung. Cyclic etching of copper thin films using HBr and Ar gases. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2020, 38 (4) https://doi.org/10.1116/6.0000218
  32. Xia Sang, Jane P Chang. Physical and chemical effects in directional atomic layer etching. Journal of Physics D: Applied Physics 2020, 53 (18) , 183001. https://doi.org/10.1088/1361-6463/ab6d94
  33. Helen Tran, Harrison M. Bergman, Victor R. de la Rosa, Samarendra Maji, Kaia R. Parenti, Richard Hoogenboom, Luis M. Campos. Microphase segregation and selective chain scission of poly(2‐methyl‐2‐oxazoline)‐ block ‐polystyrene. Journal of Polymer Science Part A: Polymer Chemistry 2019, 57 (12) , 1349-1357. https://doi.org/10.1002/pola.29396

ACS Applied Materials & Interfaces

Cite this: ACS Appl. Mater. Interfaces 2018, 10, 44, 38588–38595
Click to copy citationCitation copied!
https://doi.org/10.1021/acsami.8b12767
Published October 4, 2018

Copyright © 2018 American Chemical Society. This publication is licensed under CC-BY-NC-ND.

Article Views

4251

Altmetric

-

Citations

Learn about these metrics

Article Views are the COUNTER-compliant sum of full text article downloads since November 2008 (both PDF and HTML) across all institutions and individuals. These metrics are regularly updated to reflect usage leading up to the last few days.

Citations are the number of other articles citing this article, calculated by Crossref and updated daily. Find more information about Crossref citation counts.

The Altmetric Attention Score is a quantitative measure of the attention that a research article has received online. Clicking on the donut icon will load a page at altmetric.com with additional details about the score and the social media presence for the given article. Find more information on the Altmetric Attention Score and how the score is calculated.

  • Abstract

    Figure 1

    Figure 1. Schematic overview of several approaches for ALE categorized into anisotropic and plasma-based; isotropic and plasma-based; and isotropic and purely thermally driven. Anisotropic ALE can be obtained by employing (a) plasma radical-driven reaction to modify the material surface (half-reaction A) and plasma-generated ions or neutral beams to directionally remove the modified layer (B); (b) thermochemical reaction to modify the material surface (A) and plasma-generated ions or neutral beams to directionally remove the modified layer (B). Isotropic ALE can be obtained using (c) thermochemical reaction to remove the material, while surface modification takes place (A), and a radical-driven plasma step to reset the surface (B); (d) plasma radical-driven reaction to modify the material surface (A) and a thermal annealing step to desorb the modified layer (B); (e) thermochemical reaction to modify the surface (A) and a second thermochemical reaction to remove the modified surface layer (B); or (f) thermochemical reaction to modify the surface (A) and a thermal annealing step to desorb the modified layer (B). Examples of the approaches are given in refs (11,13,14) for (a); ref (24) for (b); this work for (c); refs (18,20,31) for (d); refs (13,16) for (e); and ref (32) for (f).

    Figure 2

    Figure 2. ZnO thickness evolution as a function of the number of cycles for (a) sequence 1: Hacac and O2 gas (circles); (b) sequence 2: Hacac and O2 plasma (squares); and (c) sequence 3: only O2 plasma pulses (diamonds). Significant ZnO thickness decrease was observed only for sequence 2. All of the experiments were carried out at a temperature of 250 °C.

    Figure 3

    Figure 3. (a) EPC as a function of the Hacac exposure time for a fixed O2 plasma step of 5 s. Saturation (self-limiting behavior) was reached for a total Hacac exposure of 27 s, resulting in an EPC of 1.31 Å/cycle. (b) EPC as a function of the O2 plasma exposure time for a fixed Hacac dose of 27 s. A saturated EPC value was measured for all the investigated O2 plasma exposure times (≥2 s). The processing temperature was 250 °C. The dashed lines serve as a guide to the eye.

    Figure 4

    Figure 4. ZnO thickness as a function of the number of ALE cycles for temperatures between 100 and 250 °C, as measured by in situ SE.

    Figure 5

    Figure 5. Low-magnification HAADF–STEM image of a ZnO-covered NW together with high-magnification images of the top, center, and bottom regions (a) before and (b) after ALE. (c) Averaged ZnO thicknesses as measured every micrometer along the NWs before and after ALE. The standard deviation of the measurements is taken as the error. (d) High-magnification TEM image of the ZnO layer after the ALE process. Lattice fringes are observable up to the top surface, indicating that no surface amorphization occurs during the ALE process.

    Figure 6

    Figure 6. Difference infrared spectra for three consecutive exposures of (a) Hacac and (b) O2 plasma on ZnO powder at 150 °C. The reference spectrum for the Hacac doses was the bare ZnO powder. For the O2 plasma pulses, the spectra were referenced to the preceding Hacac exposure (third Hacac dose); therefore, the removal of species shows up as negative peaks. The spectrum baselines have been equally shifted for clarity. (c) Difference spectrum between the third Hacac dose and the 15 min O2 plasma exposure, indicating the presence of residual acac species on the ZnO surface. Peak assignment, after ref (47): 1 ν(C═O); 2 ν(C═C) + ν(C═O), ν(C═O) + δ(C–H); 3 δ(C–H) + δ(CH3); 4 ν(C–C) + ν(C–CH3); 5 δ(CH3); 6 δ(C–CH3)+ ν(C═O), where ν and δ indicate stretching and bending, respectively.

    Figure 7

    Figure 7. Schematic of the proposed reaction mechanism for ALE of ZnO. During half-reaction A, volatile Zn(acac)2 is assumed to form from Hacac and ZnO, while leaving residual acac species and other possible carbonaceous fragments. During half-reaction B, an O2 plasma combusts the carbonaceous surface species and resets the ZnO surface for the next cycle.

  • References


    This article references 51 other publications.

    1. 1
      Fang, M.; Ho, J. C. Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning. ACS Nano 2015, 9, 86518654,  DOI: 10.1021/acsnano.5b05249
    2. 2
      George, S. M.; Lee, Y. Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. ACS Nano 2016, 10, 48894894,  DOI: 10.1021/acsnano.6b02991
    3. 3
      Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. ACS Nano 2015, 9, 20612070,  DOI: 10.1021/nn507277f
    4. 4
      Kong, L.; Song, Y.; Kim, J. D.; Yu, L.; Wasserman, D.; Chim, W. K.; Chiam, S. Y.; Li, X. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching. ACS Nano 2017, 11, 1019310205,  DOI: 10.1021/acsnano.7b04752
    5. 5
      Pan, D. Z.; Liebmann, L.; Yu, B.; Xu, X.; Lin, Y. Pushing Multiple Patterning in Sub-10 nm. Proceedings of the 52nd Annual Design Automation Conference on—DAC ’15 2015, pp 16.
    6. 6
      Clark, R.; Tapily, K.; Yu, K.; Hakamata, T.; Consiglio, S.; Meara, D. O.; Wajda, C.; Smith, J.; Leusink, G. Perspective : New Process Technologies Required for Future Devices and Scaling. APL Mater. 2018, 6, 058203,  DOI: 10.1063/1.5026805
    7. 7
      Veldhorst, M.; Eenink, H. G. J.; Yang, C. H.; Dzurak, A. S. Silicon CMOS Architecture for a Spin-Based Quantum Computer. Nat. Commun. 2017, 8, 1766,  DOI: 10.1038/s41467-017-01905-6
    8. 8
      Ryder, C. R.; Wood, J. D.; Wells, S. A.; Hersam, M. C. Chemically Tailoring Semiconducting Two-Dimensional Transition Metal Dichalcogenides and Black Phosphorus. ACS Nano 2016, 10, 39003917,  DOI: 10.1021/acsnano.6b01091
    9. 9
      Burr, G. W.; Shelby, R. M.; Sebastian, A.; Kim, S.; Kim, S.; Sidler, S.; Virwani, K.; Ishii, M.; Narayanan, P.; Fumarola, A.; Sanches, L. L.; Boybat, I.; Le Gallo, M.; Moon, K.; Woo, J.; Hwang, H.; Leblebici, Y. Neuromorphic Computing Using Non-Volatile Memory. Adv. Phys.: X 2017, 2, 89124,  DOI: 10.1080/23746149.2016.1259585
    10. 10
      Lill, T.; Kanarik, K. J.; Tan, S.; Shen, M.; Hudson, E.; Pan, Y.; Marks, J.; Vahedi, V.; Gottscho, R. A. Directional Atomic Layer Etching in Encyclopedia of Plasma Technology; Shohet, J. L., Ed.; Taylor & Francis Group, CRC Press: Boca Raton, FL, 2016; Ch. 13 for your reference; eBook ISBN 9781482214314.
    11. 11
      Kanarik, K. J.; Lill, T.; Hudson, E. A.; Sriraman, S.; Tan, S.; Marks, J.; Vahedi, V.; Gottscho, R. A. Overview of Atomic Layer Etching in the Semiconductor Industry. J. Vac. Sci. Technol., A 2015, 33, 020802,  DOI: 10.1116/1.4913379
    12. 12
      Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of AlF3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride. J. Phys. Chem. C 2015, 119, 2538525393,  DOI: 10.1021/acs.jpcc.5b07236
    13. 13
      Faraz, T.; Roozeboom, F.; Knoops, H. C. M.; Kessels, W. M. M. Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?. ECS J. Solid State Sci. Technol. 2015, 4, N5023N5032,  DOI: 10.1149/2.0051506jss
    14. 14
      Oehrlein, G. S.; Metzler, D.; Li, C. Atomic Layer Etching at the Tipping Point: An Overview. ECS J. Solid State Sci. Technol. 2015, 4, N5041N5053,  DOI: 10.1149/2.0061506jss
    15. 15
      Carver, C. T.; Plombon, J. J.; Romero, P. E.; Suri, S.; Tronic, T. A.; Turkot, R. B. J. Atomic Layer Etching: An Industry Perspective. ECS J. Solid State Sci. Technol. 2015, 4, N5005N5009,  DOI: 10.1149/2.0021506jss
    16. 16
      Honda, M.; Katsunuma, T.; Tabata, M.; Tsuji, A.; Oishi, T.; Hisamatsu, T.; Ogawa, S.; Kihara, Y. Benefits of Atomic-Level Processing by Quasi-ALE and ALD Technique. J. Phys. D: Appl. Phys. 2017, 50, 234002,  DOI: 10.1088/1361-6463/aa6f27
    17. 17
      Lee, C. G. N.; Kanarik, K. J.; Gottscho, R. A. The Grand Challenges of Plasma Etching: A Manufacturing Perspective. J. Phys. D: Appl. Phys. 2014, 47, 273001,  DOI: 10.1088/0022-3727/47/27/273001
    18. 18
      Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Kurihara, M.; Izawa, M.; Ishikawa, K.; Hori, M. (Invited) Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication. ECS Trans. 2017, 80, 314,  DOI: 10.1149/08003.0003ecst
    19. 19
      Khan, S. A.; Suyatin, D. B.; Sundqvist, J.; Graczyk, M.; Junige, M.; Kauppinen, C.; Kvennefors, A.; Huffman, M.; Maximov, I. High-Definition Nanoimprint Stamp Fabrication by Atomic Layer Etching. ACS Appl. Nano Mater. 2018, 1, 24762482,  DOI: 10.1021/acsanm.8b00509
    20. 20
      Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Miura, M.; Kurihara, M.; Maeda, K.; Negishi, N.; Sonoda, Y.; Tanaka, M.; Yasui, N.; Izawa, M.; Ishii, Y.; Okuma, K.; Saldana, T.; Manos, J.; Ishikawa, K.; Hori, M. Selective Atomic-Level Etching Using Two Heating Procedures, Infrared Irradiation and Ion Bombardment, for next-Generation Semiconductor Device Manufacturing. J. Phys. D: Appl. Phys. 2017, 50, 194001,  DOI: 10.1088/1361-6463/aa6874
    21. 21
      Lord, A. M.; Ramasse, Q. M.; Kepaptsoglou, D. M.; Evans, J. E.; Davies, P. R.; Ward, M. B.; Wilks, S. P. Modifying the Interface Edge to Control the Electrical Transport Properties of Nanocontacts to Nanowires. Nano Lett. 2017, 17, 687694,  DOI: 10.1021/acs.nanolett.6b03699
    22. 22
      Lieberman, M. A.; Lichtenberg, A. J. Principles of Plasma Discharges and Materials Processing; II; John Wiley & Sons: Hoboken, New Jersey, USA, 2005.
    23. 23
      Jhon, Y. I.; Min, K. S.; Yeom, G. Y.; Jhon, Y. M. Understanding Time-Resolved Processes in Atomic-Layer Etching of Ultra-Thin Al2O3 Film Using BCl3 and Ar Neutral Beam. Appl. Phys. Lett. 2014, 105, 093104,  DOI: 10.1063/1.4894523
    24. 24
      Park, S. D.; Oh, C. K.; Bae, J. W.; Yeom, G. Y.; Kim, T. W.; Song, J. I.; Jang, J. H. Atomic Layer Etching of InP Using a Low Angle Forward Reflected Ne Neutral Beam. Appl. Phys. Lett. 2006, 89, 043109,  DOI: 10.1063/1.2221504
    25. 25
      Lee, Y.; DuMont, J. W.; George, S. M. Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions. Chem. Mater. 2016, 28, 29943003,  DOI: 10.1021/acs.chemmater.6b00111
    26. 26
      Lee, Y.; DuMont, J. W.; George, S. M. Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF. ECS J. Solid State Sci. Technol. 2015, 4, N5013N5022,  DOI: 10.1149/2.0041506jss
    27. 27
      Zywotko, D. R.; George, S. M. Thermal Atomic Layer Etching of ZnO by a “Conversion-Etch” Mechanism Using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum. Chem. Mater. 2017, 29, 11831191,  DOI: 10.1021/acs.chemmater.6b04529
    28. 28
      Johnson, N. R.; Sun, H.; Sharma, K.; George, S. M. Thermal Atomic Layer Etching of Crystalline Aluminum Nitride Using Sequential, Self-Limiting Hydrogen Fluoride and Sn(acac)2 Reactions and Enhancement by H2 and Ar Plasmas. J. Vac. Sci. Technol., A 2016, 34, 050603,  DOI: 10.1116/1.4959779
    29. 29
      Sherpa, S. D.; Ventzek, P. L. G.; Ranjan, A. Quasiatomic Layer Etching of Silicon Nitride with Independent Control of Directionality and Selectivity. J. Vac. Sci. Technol., A 2017, 35, 05C310,  DOI: 10.1116/1.4993133
    30. 30
      Miyoshi, N.; Kobayashi, H.; Shinoda, K.; Kurihara, M.; Watanabe, T.; Kouzuma, Y.; Yokogawa, K.; Sakai, S.; Izawa, M. Atomic Layer Etching of Silicon Nitride Using Infrared Annealing for Short Desorption Time of Ammonium Fluorosilicate. Jpn. J. Appl. Phys. 2017, 56, 06HB01,  DOI: 10.7567/jjap.56.06hb01
    31. 31
      Shinoda, K.; Izawa, M.; Kanekiyo, T.; Ishikawa, K.; Hori, M. Thermal Cyclic Etching of Silicon Nitride Using Formation and Desorption of Ammonium Fluorosilicate. Appl. Phys. Express 2016, 9, 106201,  DOI: 10.7567/apex.9.106201
    32. 32
      Ikeda, K.; Imai, S.; Matsumura, M. Atomic Layer Etching of Germanium. Appl. Surf. Sci. 1997, 112, 8791,  DOI: 10.1016/s0169-4332(96)00995-6
    33. 33
      Lee, Y.; DuMont, J. W.; George, S. M. Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF. Chem. Mater. 2015, 27, 36483657,  DOI: 10.1021/acs.chemmater.5b00300
    34. 34
      Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional etch of magnetic and noble metals. II. Organic chemical vapor etch. J. Vac. Sci. Technol., A 2017, 35, 05C305,  DOI: 10.1116/1.4983830
    35. 35
      Chen, J. K.-C.; Altieri, N. D.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. P. Directional Etch of Magnetic and Noble Metals. II. Organic Chemical Vapor Etch. J. Vac. Sci. Technol., A 2017, 35, 05C305,  DOI: 10.1116/1.4983830
    36. 36
      Nigg, H. L.; Ford, L. P.; Masel, R. I. Surface-mediated reaction pathways of 2,4-pentanedione on clean and oxygen covered Cu (210). J. Vac. Sci. Technol., A 1998, 16, 30643067,  DOI: 10.1116/1.581459
    37. 37
      Kytökivi, A.; Rautiainen, A.; Root, A. Reaction of acetylacetone vapour with [gamma ]-alumina. J. Chem. Soc. Faraday. Trans. 1997, 93, 40794084,  DOI: 10.1039/a704993e
    38. 38
      George, M. A.; Hess, D. W.; Beck, S. E.; Young, K. M.; Roberts, D. A.; Vrtis, R.; Voloshin, G.; Bohling, D. A.; Lane, A. P. Reaction of 1,1,1,5,5,5-Hexafluoro-2,4-Pentanedione (H+hfac) with Iron and Iron Oxide Thin Films. J. Electrochem. Soc. 1996, 143, 32573266,  DOI: 10.1149/1.1837194
    39. 39
      Jain, A.; Kodas, T. T.; Hampden-Smith, M. J. Thermal Dry-Etching of Copper Using Hydrogen Peroxide and Hexafluoroacetylacetone. Thin Solid Films 1995, 269, 5156,  DOI: 10.1016/0040-6090(95)06877-5
    40. 40
      Hauge, H. I. T.; Conesa-Boj, S.; Verheijen, M. A.; Koelling, S.; Bakkers, E. P. A. M. Single-Crystalline Hexagonal Silicon-Germanium. Nano Lett. 2017, 17, 8590,  DOI: 10.1021/acs.nanolett.6b03488
    41. 41
      Https://imagej.nih.gov/ij/.
    42. 42
      Mameli, A.; Merkx, M. J. M.; Karasulu, B.; Roozeboom, F.; Kessels, W. E. M. M.; Mackus, A. J. M. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle. ACS Nano 2017, 11, 93039311,  DOI: 10.1021/acsnano.7b04701
    43. 43
      Pécz, B.; Baji, Z.; Lábadi, Z.; Kovács, A. ZnO Layers Deposited by Atomic Layer Deposition. Journal of Physics: Conference Series in 18th Microscopy of Semiconducting Materials Conference (MSM XVIII) , 2013; Vol. 471, p 12015.
    44. 44
      Profijt, H. B.; Kudlacek, P.; van de Sanden, M. C. M.; Kessels, W. M. M. Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides. J. Electrochem. Soc. 2011, 158, G88G91,  DOI: 10.1149/1.3552663
    45. 45
      National Institute of Standards and Technology. NIST Webbook. In Chemistry WebBook, NIST Standard Reference Database Number 69; Linstrom, P. J., Mallard, W. G., Eds.; National Institute of Standards and Technology: Gaithersburg MD, 20899.
    46. 46
      Tayyari, S. F.; Milani-nejad, F. Vibrational Assignment of Acetylacetone. Spectrochim. Acta, Part A 2000, 56, 26792691,  DOI: 10.1016/s1386-1425(00)00304-8
    47. 47
      Niven, M. L.; Thornton, D. A. Band Assignment in the Infrared Spectrum of Zinc Acetylacetonate Monohydrate by 18O, 68Zn and 64Zn-Labelling. Spectrosc. Lett. 1980, 13, 419425,  DOI: 10.1080/00387018008064033
    48. 48
      Helms, A. B.; Burgess, J. S.; Street, S. C. Surface Studies of 2,4-Pentanedione on γ-Al2O3/NiAl (100) and NiAl (100). Surf. Sci. 2009, 603, 32623266,  DOI: 10.1016/j.susc.2009.09.008
    49. 49
      Lee, Y.; George, S. M. Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2. ACS Nano 2015, 9, 20612070,  DOI: 10.1021/nn507277f
    50. 50
      Lee, Y.; Huffman, C.; George, S. M. Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. Chem. Mater. 2016, 28, 76577665,  DOI: 10.1021/acs.chemmater.6b02543
    51. 51
      DuMont, J. W.; Marquardt, A. E.; Cano, A. M.; George, S. M. Thermal Atomic Layer Etching of SiO2 by a “Conversion-Etch” Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride. ACS Appl. Mater. Interfaces 2017, 9, 1029610307,  DOI: 10.1021/acsami.7b01259
  • Supporting Information

    Supporting Information


    The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/acsami.8b12767.

    • Schematic of the ZnO ALE cycle developed in this work; elemental analysis from XPS surface scans of ZnO samples before and after ALE; SEM cross-sectional image of the GaP NW substrate; low-magnification HAADF–STEM image of the ZnO-covered NW; high-magnification HAADF–STEM images; and schematic of possible reaction pathways (PDF)


    Terms & Conditions

    Most electronic Supporting Information files are available without a subscription to ACS Web Editions. Such files may be downloaded by article for research use (if there is a public use license linked to the relevant article, that license may permit other uses). Permission may be obtained from ACS for other uses through requests via the RightsLink permission system: http://pubs.acs.org/page/copyright/permissions.html.